Skip to main content

Statistical Timing Analysis

  • Reference work entry
  • First Online:
  • 161 Accesses

Years and Authors of Summarized Original Work

  • 2003; Chang, Sapatnekar

  • 2005; Chang, Sapatnekar

Problem Definition

The timing behavior of integrated systems is strongly affected by the characteristics of transistors and wires in the system. Variations in the manufacturing process can cause drifts in these characteristics from one manufactured part to another. The traditional approach to addressing these variations was to choose a worst-case value for each process parameter, but this has become unsustainable in the face of current-day variations. Statistical timing analysis provides a computationally efficient way to translate the probability density function of the underlying process parameter spread to the distribution of circuit timing.

A key underlying structure for timing analysis is a graph G(V, E) of a combinational circuit, where the vertex set Vcorresponds to the gates, primary inputs, and primary outputs of the circuit, and each connection between these gates corresponds to an...

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   1,599.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD   1,999.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Recommended Reading

  1. Chang H, Sapatnekar SS (2003) Statistical timing analysis considering spatial correlations using a single PERT-like traversal. In: Proceedings of the IEEE/ACM international conference on computer-aided design, San Jose, pp 621–625

    Google Scholar 

  2. Chang H, Sapatnekar SS (2005) Statistical timing analysis under spatial correlations. IEEE Trans Comput-Aided Des Integr Circuits Syst 24(9):1467–1482

    Article  Google Scholar 

  3. Clark CE (1961) The greatest of a finite set of random variables. Oper Res 9:85–91

    MathSciNet  Google Scholar 

  4. Hyvärinen A, Oja E (2000) Independent component analysis: algorithms and applications. Neural Netw 13:411–430

    Article  Google Scholar 

  5. Jacobs E, Berkelaar MRCM (2000) Gate sizing using a statistical delay model. In: Proceedings of design and test in Europe, Paris, pp 283–290

    Google Scholar 

  6. Li X, Le J, Gopalakrishnan P, Pileggi LT (2007) Asymptotic probability extraction for nonnormal performance distributions. IEEE Trans Comput-Aided Des Integr Circuits Syst 26(1):16–37

    Article  Google Scholar 

  7. Morrison DF (1976) Multivariate statistical methods. McGraw-Hill, New York

    MATH  Google Scholar 

  8. Singh J, Sapatnekar SS (2008) A scalable statistical static timing analyzer incorporating correlated non-Gaussian and Gaussian parameter variations. IEEE Trans Comput-Aided Des Integr Circuits Syst 27(1):160–173

    Article  Google Scholar 

  9. Synopsys Inc (2009) PrimeTime Advanced OCV Technology. www.synopsys.com/Tools/Implementation/SignOff/CapsuleModule/PrimeTime_AdvancedOCV_WP.pdf

  10. Visweswariah C, Ravindran K, Kalafala K, Walker SG, Narayan S, Beece DK, Piaget J, Venkateswaran N, Hemmett JG (2006) First-order incremental block-based statistical timing analysis. IEEE Trans Comput-Aided Des Integr Circuits Syst 25(10):2170–2180

    Article  Google Scholar 

  11. Zhan Y, Strojwas AJ, Li X, Pileggi LT, Newmark D, Sharma M (2005) Correlation-aware statistical timing analysis with non-Gaussian delay distributions. In: Proceedings of the ACM/IEEE design automation conference, San Jose, pp 77–82

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sachin S. Sapatnekar .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer Science+Business Media New York

About this entry

Cite this entry

Sapatnekar, S.S. (2016). Statistical Timing Analysis. In: Kao, MY. (eds) Encyclopedia of Algorithms. Springer, New York, NY. https://doi.org/10.1007/978-1-4939-2864-4_742

Download citation

Publish with us

Policies and ethics