Skip to main content

Circuit Retiming: An Incremental Approach

  • Reference work entry
  • First Online:
Encyclopedia of Algorithms
  • 82 Accesses

Years and Authors of Summarized Original Work

  • 2005; Zhou

Problem Definition

Circuit retiming is one of the most effective structural optimization techniques for sequential circuits. It moves the registers within a circuit without changing its function. The minimal period retiming problem needs to minimize the longest delay between any two consecutive registers, which decides the clock period.

The problem can be formally described as follows. Given a directed graph G = (V, E) representing a circuit – each node v ∈ V represents a gate and each edge e ∈ E represents a signal passing from one gate to another – with gate delays \(d : V \rightarrow \mathbb{R}^{+}\) and register numbers \(w : E \rightarrow \mathbb{N}\), it asks for a relocation of registers \(w^{{\prime}} : E \rightarrow \mathbb{N}\) such that the maximal delay between two consecutive registers is minimized.

Notations To guarantee that the new registers are actually a relocation of the old ones, a label \(r : V \rightarrow...

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 1,599.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 1,999.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Recommended Reading

  1. Leiserson CE, Saxe JB (1991) Retiming synchronous circuitry. Algorithmica 6:5–35

    Article  MathSciNet  MATH  Google Scholar 

  2. Sapatnekar SS, Deokar RB (1996) Utilizing the retiming-skew equivalence in a practical algorithm for retiming large circuits. IEEE Trans Comput Aided Des 15:1237–1248

    Article  Google Scholar 

  3. Wang J, Zhou H (2008) An efficient incremental algorithm for min-area retiming. In: Proceedings of the design automation conference, Anaheim, pp 528–533

    Google Scholar 

  4. Zhou H (2005) Deriving a new efficient algorithm for min-period retiming. In: Asia and South Pacific design automation conference, Shanghai, Jan 2005

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Hai Zhou .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer Science+Business Media New York

About this entry

Cite this entry

Zhou, H. (2016). Circuit Retiming: An Incremental Approach. In: Kao, MY. (eds) Encyclopedia of Algorithms. Springer, New York, NY. https://doi.org/10.1007/978-1-4939-2864-4_71

Download citation

Publish with us

Policies and ethics