Skip to main content

Advertisement

Log in

An energy-efficient scheduling approach for memory-intensive tasks in multi-core systems

  • Original Research
  • Published:
International Journal of Information Technology Aims and scope Submit manuscript

Abstract

The multicore systems are designed to give excellent performance by parallelly executing the various tasks on different cores. The execution of tasks requires lots of energy which can be saved if the tasks are scheduled efficiently on cores. In this work, we present an approach for scheduling of memory-intensive tasks in mutli-core systems. The given approach utilizes the concept of dynamic voltage and frequency scaling (DVFS), thermal management, and heterogeneous cores. The performance of the given approach is compared with a non-DVFS model and a latest approach. The results show that the given approach reduces more energy than the compared approaches in terms of percentage of saved energy and normalized energy.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6

Similar content being viewed by others

References

  1. Bhuiyan A, Liu D, Khan A et al (2020) Energy-efficient parallel real-time scheduling on clustered multi-core. IEEE Trans Parallel Distrib Syst 31(9):2097–2111

    Article  Google Scholar 

  2. Chantem T, Hu XS, Dick RP (2010) Temperature-aware scheduling and assignment for hard real-time applications on mpsocs. IEEE Trans Very Large Scale Integr (VLSI) Syst 19(10):1884–1897

    Article  Google Scholar 

  3. Cong J, Yuan B (2012) Energy-efficient scheduling on heterogeneous multi-core architectures. In: Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design. pp 345–350

  4. El Sayed MA, Saad ESM, Aly RF et al (2021) Energy-efficient task partitioning for real-time scheduling on multi-core platforms. Computers 10(1):10

    Article  Google Scholar 

  5. Fog A (2021) Lists of instruction latencies, throughputs and micro-operation breakdowns for intel, amd and via cpus, technical university of denmark, 1996–2021, last updated 2021-08-17 pp 01–442. https://www.agner.org/optimize/instruction_tables.pdf, DoA: 30 Apr 2022

  6. Hagras T (2021) Slack extender mechanism for greening dependent-tasks scheduling on dvfs-enabled computing platforms. J Supercomput 1–21

  7. Hu Y, Liu C, Li K et al (2017) Slack allocation algorithm for energy minimization in cluster systems. Future Gener Comput Syst 74:119–131

    Article  Google Scholar 

  8. Hwang K, Jotwani N (1993) Advanced computer architecture: parallelism, scalability, programmability, vol 199. McGraw-Hill, New York

    Google Scholar 

  9. Kim SI, Kim JK (2019) A method to construct task scheduling algorithms for heterogeneous multi-core systems. IEEE Access 7:142640–142651

    Article  Google Scholar 

  10. Kumar N, Vidyarthi DP (2014) Improved scheduler for multi-core many-core systems. Computing 96(11):1087–1110

    Article  Google Scholar 

  11. Kumar N, Vidyarthi DP (2017) An energy aware cost effective scheduling framework for heterogeneous cluster system. Future Gener Comput Syst 71:73–88

    Article  Google Scholar 

  12. Kumar N, Vidyarthi DP (2021) A novel energy-efficient scheduling model for multi-core systems. Clust Comput 24(2):643–666

    Article  Google Scholar 

  13. Lee WY (2011) Energy-efficient scheduling of periodic real-time tasks on lightly loaded multicore processors. IEEE Trans Parallel Distrib Syst 23(3):530–537

    Article  Google Scholar 

  14. Lin CC, Syu YC, Chang CJ et al (2015) Energy-efficient task scheduling for multi-core platforms with per-core dvfs. J Parallel Distrib Comput 86:71–81

    Article  Google Scholar 

  15. Maurya AK, Tripathi AK (2017) Performance comparison of heft, lookahead, ceft and peft scheduling algorithms for heterogeneous computing systems. In: Proceedings of the 7th international conference on computer and communication technology. pp 128–132

  16. Maurya AK, Tripathi AK (2018a) An energy aware edge priority-based scheduling algorithm for multiprocessor environments. In: Proceedings of the international conference on parallel and distributed processing techniques and applications (PDPTA), the steering committee of the world congress in computer science, compute $\ldots $. pp 42–46

  17. Maurya AK, Tripathi AK (2018) On benchmarking task scheduling algorithms for heterogeneous computing systems. J Supercomput 74(7):3039–3070

    Article  Google Scholar 

  18. Maurya AK, Tripathi AK (2019) Ecp: a novel clustering-based technique to schedule precedence constrained tasks on multiprocessor computing systems. Computing 101(8):1015–1039

    Article  MathSciNet  Google Scholar 

  19. Maurya AK, Tripathi AK (2019) An edge priority-based clustering algorithm for multiprocessor environments. Concurr Comput Pract Exp 31(11):e5060

    Article  Google Scholar 

  20. Maurya AK, Modi K, Kumar V et al (2020) Energy-aware scheduling using slack reclamation for cluster systems. Clust Comput 23(2):911–923

    Article  Google Scholar 

  21. Mei J, Li K, Hu J et al (2013) Energy-aware preemptive scheduling algorithm for sporadic tasks on dvs platform. Microprocess Microsyst 37(1):99–112

    Article  Google Scholar 

  22. Moulik S (2021) Reset: a real-time scheduler for energy and temperature aware heterogeneous multi-core systems. Integration 77:59–69

    Article  Google Scholar 

  23. Nie P, Duan Z (2012) Efficient and scalable scheduling for performance heterogeneous multicore systems. J Parallel Distrib Comput 72(3):353–361

    Article  Google Scholar 

  24. Prakash S, Maurya AK, Tripathi AK (2017) Energy efficient scheduling of independent tasks on multicore processors with software controlled dynamic voltage scaling. In: Proceedings of the international conference on parallel and distributed processing techniques and applications (PDPTA), the steering committee of the world congress in computer science, computer $\ldots $. pp 179–183

  25. Roy A, Aydin H, Zhu D (2021) Energy-aware primary/backup scheduling of periodic real-time tasks on heterogeneous multicore systems. Sustain Comput Inform Syst 29(100):474

    Google Scholar 

  26. Salami B, Noori H, Naghibzadeh M (2020) Fairness-aware energy efficient scheduling on heterogeneous multi-core processors. IEEE Trans Comput 70(1):72–82

    Article  Google Scholar 

  27. Seo E, Jeong J, Park S et al (2008) Energy efficient scheduling of real-time tasks on multicore processors. IEEE Trans Parallel Distrib Syst 19(11):1540–1552

    Article  Google Scholar 

  28. Sha S, Wen W, Chaparro-Baquero GA et al (2019) Thermal-constrained energy efficient real-time scheduling on multi-core platforms. Parallel Comput 85:231–242

    Article  Google Scholar 

  29. Sheikh HF, Ahmad I, Fan D (2015) An evolutionary technique for performance-energy-temperature optimized scheduling of parallel tasks on multi-core processors. IEEE Trans Parallel Distrib Syst 27(3):668–681

    Article  Google Scholar 

  30. Zhu D, Chen L, Pinkston TM et al (2015) Tapp: temperature-aware application mapping for noc-based many-core processors. In: 2015 design, automation and test in Europe conference and exhibition (DATE). IEEE, pp 1241–1244

  31. (2022) https://www.programmingsimplified.com/c-program-examples. DoA: 30 Apr 2022

Download references

Funding

No funding was received for conducting this study.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ashish Kumar Maurya.

Ethics declarations

Conflict of interest

The authors declare that they have no conflict of interest.

Rights and permissions

Springer Nature or its licensor holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Maurya, A.K., Meena, A., Singh, D. et al. An energy-efficient scheduling approach for memory-intensive tasks in multi-core systems. Int. j. inf. tecnol. 14, 2793–2801 (2022). https://doi.org/10.1007/s41870-022-01042-4

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s41870-022-01042-4

Keywords

Navigation