Skip to main content
Log in

Wafer bin map inspection based on DenseNet

基于密集连接网络的晶圆图检测

  • Published:
Journal of Central South University Aims and scope Submit manuscript

Abstract

Wafer bin map (WBM) inspection is a critical approach for evaluating the semiconductor manufacturing process. An excellent inspection algorithm can improve the production efficiency and yield. This paper proposes a WBM defect pattern inspection strategy based on the DenseNet deep learning model, the structure and training loss function are improved according to the characteristics of the WBM. In addition, a constrained mean filtering algorithm is proposed to filter the noise grains. In model prediction, an entropy-based Monte Carlo dropout algorithm is employed to quantify the uncertainty of the model decision. The experimental results show that the recognition ability of the improved DenseNet is better than that of traditional algorithms in terms of typical WBM defect patterns. Analyzing the model uncertainty can not only effectively reduce the miss or false detection rate but also help to identify new patterns.

摘要

晶圆图(WBM)检测是评估半导体生产工艺的关键手段,有效的检测方法能够提升生产效率与产 品良率。本文提出了一种基于密集连接网络的晶圆图缺陷模式检测方法,并根据晶圆图特点对模型结 构和损失函数进行了改进。此外,提出了一种受限均值滤波算法滤除噪声晶粒。在模型预测时,采用 基于熵的蒙特卡洛Dropout 算法来量化模型决策的不确定性。实验结果表明,对于典型的晶圆缺陷模 式,改进模型的识别能力优于传统算法。通过分析模型不确定性,不仅可以有效地降低漏检率和误检 率,还有助于发现新模式。

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. BERGERET F, LE GALL C. Yield improvement using statistical analysis of process dates [J]. IEEE Transactions on Semiconductor Manufacturing, 2003, 16(3): 535–542. DOI: https://doi.org/10.1109/TSM.2003.815204.

    Article  Google Scholar 

  2. HSU S C, CHIEN C F. Hybrid data mining approach for pattern extraction from wafer Bin map to improve yield in semiconductor manufacturing [J]. International Journal of Production Economics, 2007, 107(1): 88–103. DOI: https://doi.org/10.1016/j.ijpe.2006.05.015.

    Article  Google Scholar 

  3. CHEN Fei-long, LIU Shu-fan. A neural-network approach to recognize defect spatial pattern in semiconductor fabrication [J]. IEEE Transactions on Semiconductor Manufacturing, 2000, 13(3): 366–373. DOI: https://doi.org/10.1109/66.857947.

    Article  Google Scholar 

  4. WANG C H, KUO W, BENSMAIL H. Detection and classification of defect patterns on semiconductor wafers [J]. IIE Transactions, 2006, 38(12): 1059–1068. DOI: https://doi.org/10.1080/07408170600733236.

    Article  Google Scholar 

  5. HWANG J Y, KUO W. Model-based clustering for integrated circuit yield enhancement [J]. European Journal of Operational Research, 2007, 178(1): 143–153. DOI: https://doi.org/10.1016/j.ejor.2005.11.032.

    Article  MathSciNet  Google Scholar 

  6. YU Jian-bo, LU Xiao-lei. Wafer map defect detection and recognition using joint local and nonlocal linear discriminant analysis [J]. IEEE Transactions on Semiconductor Manufacturing, 2016, 29(1): 33–43. DOI: https://doi.org/10.1109/TSM.2015.2497264.

    Article  Google Scholar 

  7. JIN Cheng-hao, NA H J, PIAO Ming-hao, POK G, RYU K H. A novel DBSCAN-based defect pattern detection and classification framework for wafer Bin map [J]. IEEE Transactions on Semiconductor Manufacturing, 2019, 32(3): 286–292. DOI: https://doi.org/10.1109/TSM.2019.2916835.

    Article  Google Scholar 

  8. CHEN Xiao-yan, ZHAO Chun-dong, CHEN Jian-yong, ZHANG Dong-yang, ZHU Kui-feng, SU Yan-jie. K-means clustering with morphological filtering for silicon wafer grain defect detection [C]// 2020 IEEE 4th Information Technology, Networking, Electronic and Automation Control Conference (ITNEC). Chongqing, China: IEEE, 2020: 1251–1255. DOI: https://doi.org/10.1109/ITNEC48623.2020.9084726.

    Chapter  Google Scholar 

  9. LEE S, KIM D. Distributed-based hierarchical clustering system for large-scale semiconductor wafers [C]//2018 IEEE International Conference on Industrial Engineering and Engineering Management (IEEM). Bangkok, Thailand: IEEE, 2018: 1528–1532. DOI: https://doi.org/10.1109/IEEM.2018.8607492.

    Chapter  Google Scholar 

  10. CHIEN Chen-fu, HSU S C, CHEN Y J. A system for online detection and classification of wafer Bin map defect patterns for manufacturing intelligence [J]. International Journal of Production Research, 2013, 51(8): 2324–2338. DOI: https://doi.org/10.1080/00207543.2012.737943.

    Article  Google Scholar 

  11. TAHA K, SALAH K, YOO P D. Clustering the dominant defective patterns in semiconductor wafer maps [J]. IEEE Transactions on Semiconductor Manufacturing, 2018, 31(1): 156–165. DOI: https://doi.org/10.1109/TSM.2017.2768323.

    Article  Google Scholar 

  12. KONG Yu-ting, NI Dong. Semi-supervised classification of wafer map based on ladder network [C]// 2018 14th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT). Piscataway, NJ, USA: IEEE, 2018: 1–4. DOI: https://doi.org/10.1109/ICSICT.2018.8564982.

    Google Scholar 

  13. SHIM J, KANG S, CHO S. Active learning of convolutional neural network for cost-effective wafer map pattern classification [J]. IEEE Transactions on Semiconductor Manufacturing, 2020, 33(2): 258–266. DOI: https://doi.org/10.1109/TSM.2020.2974867.

    Article  Google Scholar 

  14. PIAO Ming-hao, JIN Cheng-hao, LEE J Y, BYUN J Y. Decision tree ensemble-based wafer map failure pattern recognition based on radon transform-based features [J]. IEEE Transactions on Semiconductor Manufacturing, 2018, 31(2): 250–257. DOI: https://doi.org/10.1109/TSM.2018.2806931.

    Article  Google Scholar 

  15. SAQLAIN M, JARGALSAIKHAN B, LEE J Y. A voting ensemble classifier for wafer map defect patterns identification in semiconductor manufacturing [J]. IEEE Transactions on Semiconductor Manufacturing, 2019, 32(2): 171–182. DOI: https://doi.org/10.1109/TSM.2019.2904306.

    Article  Google Scholar 

  16. WU Ming-ju, JANG J S R, CHEN J L. Wafer map failure pattern recognition and similarity ranking for large-scale data sets [J]. IEEE Transactions on Semiconductor Manufacturing, 2015, 28(1): 1–12. DOI: https://doi.org/10.1109/TSM.2014.2364237.

    Article  Google Scholar 

  17. ADLY F, YOO P D, MUHAIDAT S, AL-HAMMADI Y, LEE U, ISMAIL M. Randomized general regression network for identification of defect patterns in semiconductor wafer maps [J]. IEEE Transactions on Semiconductor Manufacturing, 2015, 28(2): 145–152. DOI: https://doi.org/10.1109/TSM.2015.2405252.

    Article  Google Scholar 

  18. LI Zhong-mei, GUI Wei-hua, ZHU Jian-yong. Fault detection in flotation processes based on deep learning and support vector machine [J]. Journal of Central South University, 2019, 26(9): 2504–2515. DOI: https://doi.org/10.1007/s11771-019-4190-8.

    Article  Google Scholar 

  19. NAKAZAWA T, KULKARNI D V. Wafer map defect pattern classification and image retrieval using convolutional neural network [J]. IEEE Transactions on Semiconductor Manufacturing, 2018, 31(2): 309–314. DOI: https://doi.org/10.1109/TSM.2018.2795466.

    Article  Google Scholar 

  20. NAKAZAWA T, KULKARNI D V. Anomaly detection and segmentation for wafer defect patterns using deep convolutional encoder-decoder neural network architectures in semiconductor manufacturing [J]. IEEE Transactions on Semiconductor Manufacturing, 2019, 32(2): 250–256. DOI: https://doi.org/10.1109/TSM.2019.2897690.

    Article  Google Scholar 

  21. DEVIKA B, GEORGE N. Convolutional neural network for semiconductor wafer defect detection [C]//2019 10th International Conference on Computing, Communication and Networking Technologies (ICCCNT). Kanpur, India: IEEE, 2019: 1–6. DOI: https://doi.org/10.1109/ICCCNT45670.2019.8944584.

    Google Scholar 

  22. SHEN Zong-li, YU Jian-bo. Wafer map defect recognition based on deep transfer learning [C]//2019 IEEE International Conference on Industrial Engineering and Engineering Management (IEEM). Macao, China: IEEE, 2019: 1568–1572. DOI: https://doi.org/10.1109/IEEM44572.2019.8978568.

    Chapter  Google Scholar 

  23. WANG Rui, CHEN Nan. Defect pattern recognition on wafers using convolutional neural networks [J]. Quality and Reliability Engineering International, 2020, 36(4): 1245–1257. DOI: https://doi.org/10.1002/qre.2627.

    Article  Google Scholar 

  24. SAQLAIN M, ABBAS Q, LEE J Y. A deep convolutional neural network for wafer defect identification on an imbalanced dataset in semiconductor manufacturing processes [J]. IEEE Transactions on Semiconductor Manufacturing, 2020, 33(3): 436–444. DOI: https://doi.org/10.1109/TSM.2020.2994357.

    Article  Google Scholar 

  25. MAKSIM K, KIRILL B, EDUARD Z, NIKITA G, ALEKSANDR B, ARINA L, VLADISLAV S, DANIIL M, NIKOLAY K. Classification of wafer maps defect based on deep learning methods with small amount of data [C]// 2019 International Conference on Engineering and Telecommunication (EnT). Dolgoprudny: Russia. IEEE, 2019: 1–5. DOI: https://doi.org/10.1109/EnT47717.2019.9030550.

    Google Scholar 

  26. CHEON S, LEE H, KIM C O, LEE S H. Convolutional neural network for wafer surface defect classification and the detection of unknown defect class [J]. IEEE Transactions on Semiconductor Manufacturing, 2019, 32(2): 163–170. DOI: https://doi.org/10.1109/TSM.2019.2902657.

    Article  Google Scholar 

  27. SIMONYAN K, ZISSERMAN A. Very deep convolutional networks for large-scale image recognition [C]// 3rd International Conference on Learning Representations. San Diego, CA, United States, 2014. https://arxiv.org/abs/1409.1556.

  28. HE Kai-ming, ZHANG Xiang-yu, REN Shao-qing, SUN Jian. Deep residual learning for image recognition [C]// 2016 IEEE Conference on Computer Vision and Pattern Recognition (CVPR). Las Vegas, NV, USA: IEEE, 2016: 770–778. DOI: https://doi.org/10.1109/CVPR.2016.90.

    Chapter  Google Scholar 

  29. HUANG Gao, LIU Zhuang, VAN DER MAATEN L, WEINBERGER K Q. Densely connected convolutional networks [C]// 2017 IEEE Conference on Computer Vision and Pattern Recognition (CVPR). Honolulu, HI, USA: IEEE, 2017: 2261–2269. DOI: https://doi.org/10.1109/CVPR.2017.243.

    Chapter  Google Scholar 

  30. MAHMOOD A, TANG Xiao-wei, QIU Jiang-nan, GU Wen-jing, FEEZAN A. A hybrid approach for evaluating CPT-based seismic soil liquefaction potential using Bayesian belief networks [J]. Journal of Central South University, 2020, 27(2): 500–516. DOI: https://doi.org/10.1007/s11771-020-4312-3.

    Article  Google Scholar 

  31. BISHOP C M. Bayesian neural networks [J]. Journal of the Brazilian Computer Society, 1997, 4(1). DOI: https://doi.org/10.1590/s0104-65001997000200006.

  32. MACKAY D J C. A practical Bayesian framework for backpropagation networks [J]. Neural Computation, 1992, 4(3): 448–472. DOI: https://doi.org/10.1162/neco.1992.4.3.448.

    Article  Google Scholar 

  33. BHAT P C, PROSPER H B. Bayesian neural networks [C]// Statistical Problems in Particle Physics, Astrophysics and Cosmology. Oxford, UK: Imperial College Press, 2006: DOI: https://doi.org/10.1142/9781860948985_0032.

    Google Scholar 

  34. HERNÁNDEZ-LOBATO J M, ADAMS R P. Probabilistic backpropagation for scalable learning of Bayesian neural networks [EB/OL] 2015: arXiv: 1502.05336.http//arxiv.org/abs/1502.05336.

  35. SHRIDHAR K, LAUMANN F, MAURIN A L, OLSEN M, LIWICKI M. Bayesian convolutional neural networks with variational inference [EB/OL]. 2018: arXiv: 1806.05978. https://arxiv.org/abs/1806.05978.

  36. BLUNDELL C, CORNEBISE J, KAVUKCUOGLU K, WIERSTRA D. Weight uncertainty in neural networks [C]// 32nd International Conference on Machine Learning. Lile, France. 2015. https://arxiv.org/abs/1505.05424.

  37. GAL Y, GHAHRAMANI Z. Bayesian convolutional neural networks with bernoulli approximate variational inference [EB/OL]. 2015: arxiv:1506.02158. http://arxiv.org/abs/1506.02158.

  38. ALEX G. Practical variational inference for neural networks [C]// Proceedings of the 25th International Conference on Neural Information Processing Systems. Granada, Spain, 2011. DOI: https://doi.org/10.5555/2986459.2986721.

  39. GAL Y, GHAHRAMANI Z. Dropout as a Bayesian approximation: Representing model uncertainty in deep learning [EB/OL]. 2015; arxiv: 1506.02142v4. http://arxiv.org/abs/1506.02142v4.

  40. MIOK K. Estimation of prediction intervals in neural network-based regression models [C]//2018 20th International Symposium on Symbolic and Numeric Algorithms for Scientific Computing (SYNASC). Timisoara, Romania: IEEE, 2018: 463–468. DOI: https://doi.org/10.1109/SYNASC.2018.00078.

    Chapter  Google Scholar 

  41. GHOSHAL B, TUCKER A, SANGHERA B, WONG W L. Estimating uncertainty in deep learning for reporting confidence to clinicians when segmenting nuclei image data [C]// 2019 IEEE 32nd International Symposium on Computer-Based Medical Systems (CBMS). June 5–7, 2019, Cordoba, Spain IEEE, 2019: 318–324. DOI: https://doi.org/10.1109/CBMS.2019.00072.

    Google Scholar 

  42. LÜTJENS B, EVERETT M, HOW J P. Safe reinforcement learning with model uncertainty estimates [C]// 2019 International Conference on Robotics and Automation (ICRA). May 20–24, 2019, Montreal, QC, Canada IEEE, 2019: 8662–8668. DOI: https://doi.org/10.1109/ICRA.2019.8793611.

    Google Scholar 

  43. LIN T Y, GOYAL P, GIRSHICK R, HE K M, DOLLAR P. Focal loss for dense object detection [J]. IEEE Transactions on Pattern Analysis and Machine Intelligence, 2020, 42(2): 318–327. DOI: https://doi.org/10.1109/TPAMI.2018.2858826.

    Article  Google Scholar 

  44. SRIVASTAVA N, HINTON G, KRIZHEVSKY A, SUTSKEVER I, SALAKHUTDINOV R. Dropout: A simple way to prevent neural networks from overfitting [J]. Journal of Machine Learning Research, 2014, 15(56): 1929–1958. https://jmlr.org/papers/v15/srivastava14a.html.

    MathSciNet  MATH  Google Scholar 

  45. LIU Feng. Wafer defects issue study in lithography [D]. Tianjin: Tianjin University, 2012. http://d.wanfangdata.com.cn/thesis/D287523. (in Chinese)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Contributions

YU Nai-gong put forward the conceptualization and core methodology. XU Qiao designed the software and wrote the original draft. WANG Hong-lu conducted the investigation about the related work. LIN Jia was responsible for supervision and provided experimental resources. All authors replied to reviewers’ comments and revised the final version.

Corresponding author

Correspondence to Nai-gong Yu  (于乃功).

Additional information

Conflict of interest

YU Nai-gong, XU Qiao, WANG Hong-lu and LIN Jia declare that they have no conflict of interest.

Foundation item: Project(Z135060009002) supported by the Ministry of Industry and Information Technology of China; Project(KZ202010005004) supported by Beijing Municipal Commission of Education and Beijing Municipal Natural Science Foundation of China

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Yu, Ng., Xu, Q., Wang, Hl. et al. Wafer bin map inspection based on DenseNet. J. Cent. South Univ. 28, 2436–2450 (2021). https://doi.org/10.1007/s11771-021-4778-7

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11771-021-4778-7

Key words

关键词

Navigation