Skip to main content
Log in

Review of advanced FPGA architectures and technologies

  • Published:
Journal of Electronics (China)

Abstract

Field Programmable Gate Array (FPGA) is an efficient reconfigurable integrated circuit platform and has become a core signal processing microchip device of digital systems over the last decade. With the rapid development of semiconductor technology, the performance and system integration of FPGA devices have been significantly progressed, and at the same time new challenges arise. The design of FPGA architecture is required to evolve to meet these challenges, while also taking advantage of ever increased microchip density. This survey reviews the recent development of advanced FPGA architectures, including improvement of the programming technologies, logic blocks, interconnects, and embedded resources. Moreover, some important emerging design issues of FPGA architectures, such as novel memory based FPGAs and 3D FPGAs, are also presented to provide an outlook for future FPGA development.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. J. J. Rodriguez-Andina, M. J. Moure, and M. D. Valdes. Features, design tools, and application domains of FPGAs. IEEE Transactions on Industrial Electronics, 54(2007)4, 1810–1823.

    Article  Google Scholar 

  2. M. Slimane-Kadi, D. Brasen, and G. Saucier. A fast-FPGA prototyping system that uses inexpensive high-performance FPIC. In Proceeding of 2nd Annual Workshop on FPGAs, Berkeley, CA, USA, 1994, 1–6.

    Google Scholar 

  3. S. M. Trimberger. Field-Programmable Gate Array Technology. USA, Kluwer, 1994, Chapters 1–4.

    Book  MATH  Google Scholar 

  4. E. Ahmed and J. Rose. The effect of LUT and cluster size on deep-submicron FPGA performance and density. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 12(2004)3, 288–298.

    Article  Google Scholar 

  5. Xilinx. Virtex-6 Family Overview. DS150 (v1.0), February 2, 2009.

  6. V. Betz, J. Rose, and A. Marquardt. Architecture and CAD for Deep-Submicron FPGAs. Berlin, Kluwer Academic Publishers, 1999, Chapters 1–5.

    Book  Google Scholar 

  7. Altera Corporation. Stratix IV Device Handbook. March 2009.

    Google Scholar 

  8. Altera Corporation. Architecture Matters: Choosing the Right SoC FPGA for Your Application. November 2013.

    Google Scholar 

  9. Xilinx. Zynq-7000 All Programmable SoC Overview. March 2013.

    Google Scholar 

  10. Microsemi. SmartFusion2 System-on-Chip FPGAs. January 2014, Revision 5

  11. E. Monmasson, L. Idkhajine, and M. Cirstea, et al.. FPGAs in industrial control applications. IEEE Transactions on Industrial Informatics, 7(2011)2, 224–243.

    Article  Google Scholar 

  12. Altera Corporation. Arria 10 Device Handbook. June 2013.

    Google Scholar 

  13. Xilinx. Xilinx UltraScale Architecture for High-Performance, Smarter Systems. December 2013.

    Google Scholar 

  14. Xilinx. Xilinx UltraScale: The Next-Generation Architecture for Your Next-Generation Architecture. July 2013.

    Google Scholar 

  15. Altera Corporation. Expect a Breakthrough Advantage In Next Generation FPGAs. June 2013.

    Google Scholar 

  16. I. Kuon, R. Tessier, and J. Rose. FPGA architecture: survey and challenges. Electronic Design Automation, 2(2007)2, 135–253.

    Article  Google Scholar 

  17. Microsemi. ProASIC3 Flash Family FPGAs with Optional Soft ARM Support. January 2013, Revision 13.

    Google Scholar 

  18. Microsemi. IGLOO2 FPGAs. June 2013.

    Google Scholar 

  19. Microsemi. Axcelerator Family FPGAs. Revision 18, March 2012.

    Google Scholar 

  20. I. Kuon and J. Rose. Measuring the gap between FPGAs and ASICs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 26(2007)2, 203–215.

    Article  Google Scholar 

  21. Yang Hai-gang, Sun Jia-bin, and Wang Wei. An overview to FPGA device design technologies. Journal of Electronics and Information Technology, 32(2010)3, 714–727.

    Article  Google Scholar 

  22. A. M. Smith, G. A. Constantinides, and P. Y. K. Cheung. FPGA architecture optimization using geometric programming. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 29(2010)8, 1163–1176.

    Article  Google Scholar 

  23. F. Barranco, M. Tomasi, J. Diaz, et al.. Architecture for hierarchical optical flow estimation based on FPGA. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 20(2012)6, 1058–1067.

    Article  Google Scholar 

  24. E. Ahmed and J. Rose. The effect of logic block granularity on deep-submicron FPGA performance and density. Master’s thesis, University of Toronto, Department of Electrical and Computer Engineering, 2001.

    Google Scholar 

  25. R. Tessier, V. Betz, D. Neto, and T. Gopalsamy. Power-aware RAM mapping for FPGA embedded memory blocks. In Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Monterey, CA, USA, 2006, 189–198.

    Google Scholar 

  26. Altera Corporation. Stratix Device Handbook. January 2006.

    Google Scholar 

  27. Altera Corporation. Cyclone Device Handbook. May 2008, ver. 3.1.

    Google Scholar 

  28. Altera Corporation. Cyclone II Device Handbook. February 2008, ver. 4.0.

    Google Scholar 

  29. Altera Corporation. Cyclone III Device Handbook. August 2012, ver. 4.2.

    Google Scholar 

  30. Altera Corporation. Cyclone IV Device Handbook. February 2013, ver. 1.8.

    Google Scholar 

  31. Altera Corporation. Stratix V Device Handbook. June 2013.

    Google Scholar 

  32. Altera Corporation. FPGA Architecture. July 2006, ver. 1.0.

    Google Scholar 

  33. Xilinx. Virtex-5 FPGA User Guide. March 2012, ver. 5.4.

    Google Scholar 

  34. Xilinx. UltraScale Architecture Configurable Logic Block. December, 2013, ver. 1.0.

    Google Scholar 

  35. Xilinx. 7 Series FPGAs Configurable Logic Block. August 2013, ver. 1.5.

    Google Scholar 

  36. V. Betz and J. Rose. Cluster-based logic blocks for FPGAs: area-efficiency vs. input sharing and size. IEEE Custom Integrated Circuits Conference, Santa Clara, CA, USA, 1997, 551–554.

    Google Scholar 

  37. E. Ahmed and J. Rose. The effect of LUT and cluster size on deep-submicron FPGA performance and density. In Proceedings of the 2000 ACM/SIGDA Eighth International Symposium on Field Programmable Gate Arrays, USA, ACM Press, 2000, 3–12.

    Chapter  Google Scholar 

  38. R. Lysecky and F. Vahid. A study of the speedups and competitiveness of FPGA soft processor cores using dynamic hardware/software partitioning. Design, Automation and Test in Europe, Munich, Germany, 2005, 18–23.

    Chapter  Google Scholar 

  39. P. Biswas, S. Banerjee, and N. Dutt. Performance and energy benefits of instruction set extensions in an FPGA soft core. 19th International Conference on VLSI Design and Held jointly with 5th International Conference on Embedded Systems and Design, India, 2006, 1–5.

    Google Scholar 

  40. I. Kuon and J. Rose. Measuring the gap between FPGAs and ASICs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 26(2007)2, 203–215.

    Article  Google Scholar 

  41. Michael John and Sebastian Smith. Application-specific integrated circuits. US, Electronic Industry Press, January 2003.

    Google Scholar 

  42. A. Mishchenko, S. Chatterjee, and R. Brayton. DAG-aware AIG rewriting: a fresh look at combinational logic synthesis. In Proceedings of the 43rd Design Automation Conference, San Francisco, CA, USA, July 2006, 532–536.

  43. Hadi Parandeh-Afshar, Hind Benbihi, et al.. Rethinking FPGAs: elude the flexibility excess of LUTs with and-inverter cones. ACM/SIGDA 20th International Symposium on Field Programmable Gate Arrays, Monterey, CA, US, February 2012, 119–128.

  44. D. Lewis, D. Cashman, Mark Chan, et al.. Architectural enhancements in Stratix-V?. ACM/SIGDA 21st International Symposium on Field Programmable Gate Arrays, Monterey, CA, US, February 2013, 147–156.

  45. Altera Corporation. Stratix III FPGAs vs. Xilinx Virtex-5 Devices: Architecture and Performance Comparison. September 2007, ver. 2.0

    Google Scholar 

  46. Xilinx. Advantages of the Virtex-5 FPGA 6-Input LUT Architecture. December 2007, ver. 1.0.

    Google Scholar 

  47. V. George and J. M. Rabaey. Low-Energy FPGAs: Architecture and Design. US, Kluwer Academic Publishers, US, 2001.

    Book  Google Scholar 

  48. Altera Corporation. FLEX 10K embedded programmable logic device family. DS-F10K-4.2 January 2003.

    Google Scholar 

  49. Altera Corporation. APEX 20K programmable logic device family data sheet. DS-APEX20K-5.1, March 2004.

    Google Scholar 

  50. Altera Corporation. APEX II programmable logic device family. DSAPEXII-3.0, August 2002.

    Google Scholar 

  51. V. Betz and J. Rose. FPGA routing architecture: Segmentation and buffering to optimize speed and density. ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Monterey, CA, US, February 1999, 140–149.

  52. Xilinx Inc.. The Programmable Logic Data Book. 1994.

    Google Scholar 

  53. G. G. Lemieux and S. D. Brown. A detailed router for allocating wire segments in field-programmable gate arrays. ACM Physical Design Workshop, Reston Sheraton, US, April 1993, 1–8.

  54. Y. W. Chang, D. Wong, and C. Wong. Universal switch modules for FPGA design. ACM Transactions on Design Automation of Electronic Systems, 1(1996)1, 80–101.

    Article  Google Scholar 

  55. S. J. E. Wilton. Architectures and algorithms for field-programmable gate arrays with embedded memory. Ph.D. thesis, University of Toronto, 1997.

    Google Scholar 

  56. M. I. Masud and S. Wilton. A new switch block for segmented FPGAs. International Workshop on Field Programmable Logic and Applications, US, August 1999, 274–281.

  57. H. Fan, J. Liu, Y. L. Wu, and C. C. Cheung. On optimal hyperuniversal and rearrangeable switch box designs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 22(2003)12, 1637–1649.

    Article  Google Scholar 

  58. G. Lemieux and D. Lewis. Design and Interconnection Networks for Programmable Logic. Boston, MA, US, Kluwer Academic Publishers, 2004.

    Book  Google Scholar 

  59. D. Marple and L. Cooke. An MPGA compatible FPGA architecture. IEEE Custom Integrated Circuits Conference, Boston, MA, US, 1992, 421–424.

    Google Scholar 

  60. G. Lemieux and D. Lewis. Analytical framework for switch block design. International Symposium on Field Programmable Logic and Applications, Monterey, CA, US, September 2002, 122–131.

  61. M. Lin, A. El Gamal, Y. C. Lu, and S. Wong. Performance benefits of monolithically stacked 3D FPGA. IEEE Transaction on Computer -Aided Design Integrated Circuits and System, 26(2007)2, 216–229.

    Article  Google Scholar 

  62. A. El Gamal, J. Greene, V. Roychowdhury, et al.. Segmented channel routing in nearly as efficient as channel routing (and just as hard). University of California/Santa Cruz Conference, Berkeley, CA, US, 1991, 192–211.

    Google Scholar 

  63. W. K. Mak and D. F. Wong. Channel segmentation design for symmetrical FPGAs. International Conference on Computer-aided Design, Kasuga, Japan, 1997, 496–501.

    Google Scholar 

  64. C. G. Wong, A. J. Martin, and P. Thomas. An architecture for asynchronous FPGAs. IEEE International Conference on Field-Programmable Technology (FPT), HK SAR China, 2003, 170–177.

    Google Scholar 

  65. David Lewis, Vaughn Betz, et al.. The StratixTM routing and logic architecture. ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Monterey, CA, US, February 2003, 41–48.

  66. P. Jamieson, W. Luk, et al.. An energy and power consumption analysis of FPGA routing architectures. International Conference on Field-Programmable Technology (FPT), Sydney, Australia, December 2009, 324–327.

  67. G. Lemieux and D. Lewis. Directional and single-driver wires in FPGA interconnect. ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Monterey, CA, US, December 2004, 41–48.

  68. D. Lewis, et al.. The Stratix II logic and routing architecture. In Proceeding: ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Monterey, CA, US, Februery 2005, 14–20.

  69. John Teifel and Rajit Manohar. An Asynchronous Dataflow FPGA Architecture. IEEE Transactions on Computers, 53(2004)11, 1376–1392.

    Article  Google Scholar 

  70. Achronix Corporation. ACE User Guide. December 2012, ver. 5.0.

    Google Scholar 

  71. Achronix Corporation. Introduction to Achronix FPGAs. August 2008, Rev. 1.6.

    Google Scholar 

  72. Xilinx. Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough FPGA Capacity, Bandwidth, and Power Efficiency. December 2012, ver. 1.2.

    Google Scholar 

  73. L. Madden, E. Wu, et al.. Advancing high performance heterogeneous integration through die stacking. European Solid-State Circuits Conference (ESSCIRC), Bordeaux, France, September 2012, 18–24.

  74. Kurt Keutzer, Sharad Malik, A. Richard Newton, et al.. System-level design: orthogonalization of concerns and platform-based design. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 19(2000)12, 1523–1543.

    Article  Google Scholar 

  75. Xilinx. Virtex-4 FPGA User Guide. UG070 (v2.6), December 2008.

    Google Scholar 

  76. Xilinx. Virtex-4: Breakthrough Performance at the Lowest Cost. December 2004.

    Google Scholar 

  77. Xilinx. Virtex-5 Platform FPGA Family Technical Backgrounder. May 2006.

    Google Scholar 

  78. S. Natarajan, S. Chung, L. Paris, and A. Keshavarzi. Searching for the dream embedded memory. IEEE Solid-State Circuits Magazine, 1(2009)3, 34–44.

    Article  Google Scholar 

  79. Y. Guillemenet, L. Torres, and G. Sassatelli. Nonvolatile run-time field-programmable gate arrays structures using thermally assisted switching magnetic random access memories. IET Computer Digital Technology, 4(2010) 3, 211–226.

    Article  Google Scholar 

  80. S. Paul, S. Mukhopadhyay, and S. Bhunia. Circuit and architecture co-design approach for hybrid CMOS-STTRAM non-volatile FPGA. IEEE Transactions on. Nanotechnology, 10(2011)3, 385–394.

    Article  Google Scholar 

  81. Jason Cong and Bingjun Xiao. FPGA-RPI: A novel FPGA architecture with rram-based programmable interconnects. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 22(2014)4, 864–877.

    Article  Google Scholar 

  82. S. Tanachutiwat, M. Liu, and W. Wang. FPGA based on integration of CMOS and RRAM. IEEE Transactions on Very Large Scale Integrity System, 19 (2011)11, 2023–2032.

    Article  Google Scholar 

  83. O. Turkyilmaz, J. Figueras, and Y. Zorian. RRAM-based FPGA for ACM normally off, instantly on ACM applications. International Symposium on Nanoscale Architecture, US, 2012, 101–108.

    Google Scholar 

  84. A. Pirovano. Electronic switching effect in phase-change memory cells. IEEE International Electron Devices Meeting, Washington, D.C., US, 2005, 923–926.

    Google Scholar 

  85. R. Zhao and L.P. Shi. Study of phase change random access memory (PCRAM) at the nano-scale. Non-Volatile Memory Technology Symposium, Albuquerque, New Mexico, US, 2007, 36–39.

    Google Scholar 

  86. M. Hosomi. A novel nonvolatile memory with spin torque transfer magnetization switching: Spin-RAM. International Electron Device Meeting, Washington, D.C., US, 2005, 473–476.

    Google Scholar 

  87. Somnath Paul and Swarup Bhunia. A circuit and architecture codesign approach for a hybrid CMOS-STTRAM nonvolatile FPGA. IEEE Transactions on Nanotechnology, 10(2011)3, 385–394.

    Article  Google Scholar 

  88. W. Zhao, E. Belhaire, Q. Mistral, E. Nicolle, T. Devolder, and C. Chappert. Integration of spin-RAM technology in FPGA circuits. International Conference Solid-State Integrated Circuit. Technology, US, 2006, 799–802.

    Google Scholar 

  89. N. Bruchon, L. Torres, G. Sassatelli, et al.. New non-volatile FPGA concept using magnetic tunneling junction. IEEE Computing Society Annie Symposium Emery on VLSI Technology Architecture, US, 2006, 269–276.

    Chapter  Google Scholar 

  90. M. Liu and W. Wang. Rfpga: CMOS-Nano hybrid FPGA using RRAM components. IEEE International Symposium on Nanoscale Architectures, Anaheim, CA, US, June 2008, 93–98.

  91. J. Zhang, Y. Q. Ding, et al.. A 3D RRAM using stackable 1TXR memory all for high density application. International Conference on Communications, Circuits and Systems, CA, US, July 2009, 917–920.

  92. Hai-Gang Yang. Overview: Emerging technologies on giga-scale FPGA implementation, 2010 IEEE International Symposium on Circuits and Systems (ISCAS), France, July 2010, 1428–1431.

  93. G. Hariharan, R. Chaware, L. Yip. et al.. Assembly process qualification and reliability evaluations for heterogeneous 2.5D FPGA with HiCTE ceramic. Electronic Components & Technology Conference, Las Vegas, NV, USA, November 2013, 904–908.

  94. C. Ababei, H. Mogal, and K. Bazargan. Three-dimensional place and route for FPGAs. IEEE Asia and South Pacific Design Automation Conference, Shanghai, China, January 2005, 773–778.

  95. Mingjie Lin, A. El Gamal, Yi-Chang, et al.. Performance benefits of monolithically stacked 3D FPGA. IEEE Transactions on Computer Aided Design of Integrated Circuit and Systems, 26(2007)2, 216–229.

    Article  Google Scholar 

  96. Xie Ding, Lai Jinmei, and Tong Jiarong. Research of efficient utilization routing algorithm for Current FPGA. Chinese Journal of Electronics, 19(2010)1, 48–52.

    Google Scholar 

  97. Zhu Limin, Bian Jinian, Zhou Qiang, et al.. Integer programming based routing algorithm fro hierarchical FPGAs. Journal of Computer-Aided Design & Computer Graphics, 22(2010)10, 1687–1694.

    Google Scholar 

  98. Zhang Kun, Zhou Huabing, Stanley L. Chen, et al.. Technology mapping for FPGA with multi-mode logic cell. Journal of Computer-Aided Design & Computer Graphics, 21(2009)10, 1375–1380.

    Google Scholar 

  99. Zhang Huiguo, Tang Yulan, Yu Zongguang, and Tao Yufeng. High performance FPGA LUT design and implementation. Research & Progress of SSE, 29 (2009)4, 584–588.

    Google Scholar 

  100. Xu Hanyang, Wang Jian, and Lai Jinmei. A FPGA prototype design emphasis on low power technique. In Proceedings of the 2014 ACM/SIGDA 22nd International Symposium on Field Programmable Gate Arrays, Monterey, CA, US, February 2014, 147–150.

  101. Gao Haixia, Yang Yintang, and Dong Gang. Theoretical analysis of effect of LUT size on area and delay of FPGA. Chinese Journal of Semiconductors, 26 (2005)5, 893–898.

    Google Scholar 

  102. Gao Haixia, Ma Xiaohua, and Yang Yintang. Accurate interconnection length and routing channel width estimates for FPGAs. Chinese Journal of Semiconductors, 27(2006)7, 1196–1200.

    MATH  Google Scholar 

  103. Chen Yuanfeng, Tang Pushan, Lai Jinmei, et al.. Evaluation System for FPGA. Journal of Fudan University (Natural Science), 45(2006)4, 523–528.

    Google Scholar 

  104. Zhen Wang, Ding Xie, Jinmei Lai, et al.. FPGA interconnect architecture exploration based on a statistical model. International Conference on Field-Programmable Logic and Applications, Greece, 2011, 447–452.

    Google Scholar 

  105. Yu Jiande, Xie Ding, Shao Yun, et al.. Mini-loop maximization method on FPGA routing resources architecture design. Journal of Computer-Aided Design & Computer Graphics, 22(2010)6, 934–942.

    Article  Google Scholar 

  106. System on Programmable Chip Research Department of Institute of Electronics, Chinese Academy of Science. COMET02 Device Handbook. January 2010, Ver. 1.1.

  107. System on Programmable Chip Research Department of Institute of Electronics, Chinese Academy of Science. ER2C1000-G Handbook. June 2013, Ver. 1.0.

  108. Xingzheng Li, Haigang Yang, and Hua Zhong. Use of VPR in design of FPGA architecture. International Conference on Solid-State and Integrated Circuit Technology, Shanghai, China, 2006, 1880–1882.

    Google Scholar 

  109. Li Wei, Yang Haigang, and Gong Xiao. Optimal design of topological structure for FPGA connection box based on information entropy. Journal of Computer-Aided Design & Computer Graphics, 21(2009)2, 203–208.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Haigang Yang.

Additional information

Supported by National Natural Science Foundation of China (No. 61271149), National High Technology Research and Development Program of China (No. 2012AA-012301), and National Science and Technology Major Project of China (No. 2013ZX03006004).

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Yang, H., Zhang, J., Sun, J. et al. Review of advanced FPGA architectures and technologies. J. Electron.(China) 31, 371–393 (2014). https://doi.org/10.1007/s11767-014-4090-x

Download citation

  • Received:

  • Revised:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11767-014-4090-x

Key words

CLC index

Navigation