Skip to main content
Log in

Review of advanced CMOS technology for post-Moore era

  • Review
  • Published:
Science China Physics, Mechanics and Astronomy Aims and scope Submit manuscript

Abstract

The continuous downsizing of device has sustained Moore’s law in the past 40 years. As the power dissipation becomes more and more serious, a lot of emerging technologies have been adopted in the past decade to solve the short channel effect, leakage and performance degradation problems. In this paper, the emerging scaling technologies and device innovations, including high-k/metal gate, strain, ultra-shallow junction, tri-gate FinFET, extremely thin SOI and silicon nanowire FET will be reviewed and discussed in terms of the potential and challenge for post-Moore era.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Dennard R H, Gaensslen F H, Kuhn L, et al. Design of Micron MOS Switching Devices. In: International Electron Devices Meeting, IEEE, 1972, 18: 168–170

    Google Scholar 

  2. Pop E. Energy dissipation and transport in nanoscale devices. Nano Res, 2010, 3: 147–169

    Article  Google Scholar 

  3. Pollack F. Intel 1999. In: The International Technology Roadmap for Semiconductors, 2005

  4. Wang Y Y, Wang Y W. The road of development for integrated circuits in mainland china (in Chinese). Beijing: Science Press, 2008. 244

    Google Scholar 

  5. Mistry K, Allen C, Auth C, et al. A 45 nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging. In: International Electron Devices Meeting, IEEE, 2007. 247–250

  6. Ghani T, Armstrong M, Auth C, et al. A 90nm High Volume Manufacturing Logic Technology Featuring Novel 45nm Gate Length Strained Silicon CMOS Transistors. In: International Electron Devices Meeting, IEEE, 2003. 978–980

  7. Frank S. Graphene transistors. Nat Nanotech, 2010, 5: 487–496

    Article  Google Scholar 

  8. Auth C, Allen C, Blattner A, et al. A 22nm High Performance and Low-Power CMOS Technology Featuring Fully-Depleted Tri-Gate Transistors, Self-Aligned Contacts and High Density MIM Capacitors. In: 2012 Symposium on VLSI Technology Digest of Technical Papers, 2012. 131–132

  9. http://www.monolithic3d.com/2/post/2012/06/is-the-cost-reduction-associated-with-scaling-over.html

  10. Wang Y Y. The driving force for development of IC and system in future: Reducing the power consumption and improving the ratio of performance to power consumption. Sci China-Info Sci, 2011, 54: 915–935

    Article  Google Scholar 

  11. Kuhn K. Variability in nanoscale CMOS technology. Sci China-Info Sci, 2011, 54: 936–945

    Article  Google Scholar 

  12. Yang B, Cai M. Advanced strain engineering for state-of-the-art nanoscale CMOS technology. Sci China-Info Sci, 2011, 54: 946–958

    Article  Google Scholar 

  13. Wu B. Next-generation lithography for 22 and 16 nm technology nodes and beyond. Sci China Info Sci, 2011, 54: 959–979

    Article  Google Scholar 

  14. Ma T P. Inelastic electron tunneling spectroscopy (IETS) study of high-k gate dielectrics. Sci China Info Sci, 2011, 54: 980–989

    Article  Google Scholar 

  15. Deleonibus S. Ultra-thin films and multigate devices architectures for future CMOS scaling. Sci China Info Sci, 2011, 54: 990–1003, 980–989

    Article  Google Scholar 

  16. Iwai H, Natori K, Shiraishi K, et al. Si nanowire FET and its modeling. Sci China-Info Sci, 2011, 54: 1004–1011

    Article  Google Scholar 

  17. Tam S W, Chang M C F. RF/wireless-interconnect: The next wave of connectivity. Sci China-Info Sci, 2011, 54: 1026–1038

    Article  Google Scholar 

  18. Yu C L, Mao L H, Xiao X D, et al. A fully differential transimpedance amplifier with integrated differential photodetector in standard CMOS process for optical communications and interconnects. Sci China-Info Sci, 2011, 54(6): 1300–1311

    Article  Google Scholar 

  19. Song J J, Zhang H M, Hu H Y, et al. Hole mobility enhancement of Si by rhombohedral strain. Sci China-Phys Mech Astron, 2012, 55(8): 1399–1403

    Article  ADS  Google Scholar 

  20. Hoffmann T. High K/Metal Gates: Industry Status and Future Direction. In: IEDM short course, 2009

  21. Packan P, Akbar S, Armstrong M, et al. High performance 32nm logic technology featuring 2nd generation High-k + metal gate transistors. In: International Electron Devices Meeting, IEEE, 2009. 659–662

  22. Huang R, Wu H M, Kang J F, et al. Challenges of 22 nm and beyond CMOS technology. Sci China Ser F-Info Sci, 2009, 52(9): 1491–1533

    Article  Google Scholar 

  23. Kang J F, Yu H Y, Ren C, et al. Ultra-thin HfO2 (EOT<0.75 nm) gate stack with TaN/HfN electrodes fabricated using a high-temperature process. Electrochem Solid-State Lett, 2005, 8(11): G311–G313

    Article  Google Scholar 

  24. Ota K, Sugihara K, Sayama H, et al. Novel locally strained channel technique for high performance 55 nm CMOS. In: IEDM Tech Dig, 2002. 27–30

  25. Wei A, Wiatr M, Mowry A, et al. Multiple stress memorization in advanced SOI CMOS technologies. In: 2007 Symposium on VLSI Technology Digest of Technical Papers, 2007. 216–217

  26. Lim K Y, Lee H, Ryu C, et al. Novel stress-memorization-technology (SMT) for high electron mobility enhancement of gate last high-k/metal gate devices. In: International Electron Devices Meeting, IEEE, 2010. 229–232

  27. Ito S, Namba H, Yamaguchi K, et al. Mechanical stress effect of etch-stop nitride and its impact on deep submicron transistor design. In: IEDM Tech Dig, 2000. 247–250

  28. Shimizu A, Hachimine K, Ohki N, et al. Local mechanical-stress control (LMC): a new technique for CMOS-preformance enhancement. In: IEDM Tech Dig, 2001. 433–436

  29. Yang H, Malik R, Narasimha S, et al. Dual stress liner for high performance sub-45nm gate length SOI CMOS manufacturing. In: IEDM Tech Dig, 2004. 1075–1077

  30. Pandey S M, Liu J, Hooi Z S, et al. Mechanism of stress memorization technique (SMT) and method to maximize its effect. In: EDL, 2011. 32(4): 467–469

    Article  ADS  Google Scholar 

  31. Lee W, Waite A, Nii H, et al. High performance 65 nm SOI technology with enhanced transistor strain and advancedlow-k BEOL. In: IEDM Tech Dig, 2005. 61–64

  32. Jan C H, Bai P, Choi J, et al. A 65nm ultra low power logic platform technology using uni-axial strained silicon transistors. In: IEDM Tech Dig, 2005. 60–63

  33. Perel A S, Krull W, Hoglund D, et al. Decaborane ion implantation. In: Proc 13 th Int Conf on Ion Implantation Tech, Alpbach, 2000. 304–307

  34. Uejima K, Yako K, Ikarashi N, et al. Pushing planar bulk CMOSFET scaling to its limit by ultimately shallow diffusionless junction. In: IEDM Tech Dig, Washington, 2007. 151–154

  35. Grimes R N. Boron clusters come of age. J Cheml Edu, 2004, 81(5): 658–672

    Google Scholar 

  36. Etienne H, Vervisch V, Torregrosa F, et al. Ultra shallow junctions fabrication by plasma immersion implantation on pulsion followed by different annealing processes. In: IWJT, Shanghai, 2008. 32–38

  37. Shu Q, Hu Y J, McTeer A. PLAD (Plasma Doping) on 22nm Technology Node and Beyond-Evolutionary and/or Revolutionary. In: IWJT 2012. 1–11

  38. McCoy S P, Arevalo E A, Gelpey J C, et al. Advanced doping and millisecond annealing for ultra-shallow junctions for 65 nm and beyond. In: RTP, 2004. 99–108

  39. Timans P J, Hu Y Z, Lee Y, et al. Optimization of diffusion, activation and damage annealing in millisecond annealing. In: RTP, 2008. 65–87

  40. Aid S R, Matsumoto S, Fuse G, et al. Formation of shallow p+/n junction in silicon by non-melt laser annealing. In: IWJT, 2011. 132–135

  41. Poon C H, Cho B J, Lu Y F, et al. Multiple-pulse laser annealing of preamorphized silicon for ultrashallow boron junction formation. J Vacuum Sci Tech B-Microelectron Nanometer Struct, 2003, 21: 706–709

    Article  ADS  Google Scholar 

  42. Kim S D, Park C M, Woo J C S. Advanced source/drain engineering for box-shaped ultrashallow junction formation using laser annealing and pre-amorphization implantation in sub-100-nm SOI CMOS. In: TED 2002. 1748–1754

  43. Cho H J, Seo K I, Jeong W C, et al. Bulk planar 20nm high-k/metal gate CMOS technology platform for low power and high performance applications. In: IEDM Tech Dig, 2011. 15.1.1–15.1.4

  44. Hisamoto D, Lee W C, Kedzierski J, et al. A folded-channel MOSFET for deep-sub-tenth micron era. In: IEDM Tech Dig, 1998. 1032–1034

  45. T-J King Liu. FinFET History, Fundamentals and Future. In: VLSI short course, 2012

  46. Li T Y, Hwang C H, Li Y. Process-variation- and random-dopantinduced static noise margin fluctuation in nanoscale CMOS and FinFET SRAM cells. In: Quality Electronic Design, 2009. 24–27

  47. Toshifumi I, Toshinori N, Tsutomu T, et al. Electron transport properties of ultrathin-body and tri-gate SOI nMOSFETs with biaxial and uniaxial strain. In: IEDM Tech Dig, 2006. 1–4

  48. Cheng K, Khakifirooz A, Kulkarni P, et al. Extremely Thin SOI (ETSOI) Technology: Past, Present, and Future. In: SOI Conference, 2010

  49. Khakifirooz A, Cheng K, Nagumo T, et al. Strain engineered extremely thin SOI (ETSOI) for high-performance CMOS. In: 2012 Symposium on VLSI Technology Digest of Technical Papers, 2012. 117–118

  50. Terence B H, Maud V, Richard M. et al. Transistor matching and silicon thickness variation in ETSOI technology. In: IEDM Tech Dig, 2011. 115–118

  51. Tian Y, Huang R, Zhang X, et al. A novel nanoscaled device concept: Quasi-SOI MOSFET to eliminate the potential weaknesses of UTB SOI MOSFET. In: IEEE Transactions on Electron Devices, 2005, 52(4): 561–568

    Article  ADS  Google Scholar 

  52. Tian Y, Xiao H, Huang R, et al. Quasi-SOI MOSFETs-A promising bulk device candidate for extremely scaled era. IEEE Trans Electron Dev, 2007, 54(7): 1784–1788

    Article  ADS  Google Scholar 

  53. Suk S D, Lee S Y, Kim S M, et al. High performance 5nm radius twin silicon nanowire MOSFET(TSNWFET): Fabrication on bulk si wafer, characteristics, and reliability. In: IEDM Tech Dig, 2005

  54. Tian Y, Huang R, Wang Y Q, et al. New self-aligned silicon nanowire transistors on bulk substrate fabricated by epi-free compatible CMOS technology: Process integration, experimental characterization of carrier transport and low frequency noise. In: International Electron Devices Meeting, IEEE 2007. 895–898

  55. Li M, Yeo K H, Suk S D, et al. Sub-10 nm gate-all-around CMOS nanowire transistors on bulk Si substrate. In: 2009 Symposium on VLSI Technology Digest of Technical Papers, 2009. 94–95

  56. Wang R, Liu H, Huang R, et al. Experimental investigations on carrier transport in Si nanowire transistors: Ballistic efficiency and apparent mobility. IEEE Trans Electron Dev, 2008, 55(11): 2960–2967

    Article  ADS  Google Scholar 

  57. Li M, Yeo K H; Yeoh Y Y, et al. Experimental investigation on superior PMOS performance of uniaxial strained ≪110≫ silicon nanowire channel by embedded SiGe source/drain. In: International Electron Devices Meeting, 2007. 899–902

  58. Huang R, Wang R, Zhuge J, et al. Characterization and analysis of gate-all-around Si nanowire transistors for extreme scaling. In: IEEE Custom Integrated Circuits Conference (CICC) (Invited), 2011. 1–8

  59. Huang X, Zhang T, Wang R, et al. Self-heating effects in gate-all-around silicon nanowire MOSFETs: Modeling and analysis. In: 13th Int’l Symposium on Quality Electronic Design, 2012. 727–731

  60. Wang R, Zhuge J, Huang R, et al. Investigation on variability in metalgate Si nanowire MOSFETs: Analysis of variation sources and experimental characterization. In: TED 2011. 2317–2325

  61. Suk S D, Yeoh Y Y, Li M, et al. TSNWFET for SRAM cell application: Performance variation and process dependency. In: Symposium on VLSI Technology Digest of Technical Papers, 2008. 38–39

  62. Chen H Y, Chen C C, Hsueh F K, et al. 16nm Functional 0.039 μm2 6T-SRAM Cell with nano injection lithography, nanowire channel, and full TiN gate. In: International Electron Devices Meeting, 2009. 958–960

  63. Huang R, Zou J, Wang R, et al. Experimental demonstration of current mirrors based on silicon nanowire transistors for inversion and subthreshold operations. IEEE Trans Electron Dev, 2011, 58(10): 3639–3642

    Article  ADS  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ming Li.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Li, M. Review of advanced CMOS technology for post-Moore era. Sci. China Phys. Mech. Astron. 55, 2316–2325 (2012). https://doi.org/10.1007/s11433-012-4930-3

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11433-012-4930-3

Keywords

Navigation