Skip to main content

Advertisement

Log in

A temperature-aware and energy-efficient fuzzy technique to schedule tasks in heterogeneous MPSoC systems

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Energy consumption and elevating the chip temperature become a serious challenge in designing embedded systems mainly due to transistor scaling and integration of more components into a single chip. The temperature of the chip has significant effects on leakage current, energy consumption and reliability of the chip; hence, discovering a mechanism that reduces both energy consumption and temperature of the chip is of utmost significance. An effective task scheduling in a real-time multiprocessor system-on-chip system has a direct impact on energy consumption and temperature of the chip. Several task scheduling and task assignment techniques have been proposed to achieve this goal. Most of those works consider only utilization of processors to distribute tasks among processors in order to reduce energy consumption. Meanwhile, those works try to reduce temperature in the step of task scheduling, separately in each processor. This paper proposes a fuzzy-based technique to distribute real-time tasks among processors in order to reduce both temperature and energy consumption simultaneously. Simulation results show that our proposed technique is more efficient in terms of reducing the energy consumption compared to a well-known state-of-the-art method (up to 9%) while offering a more balanced and moderate temperatures for processors and hindering hot spot.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11

Similar content being viewed by others

References

  1. Awan MA, Petters SM (2013) Energy-aware partitioning of tasks onto a heterogeneous multi-core platform. In: Proceedings of IEEE 19th Real-Time and Embedded Technology and Applications Symposium (RTAS), pp 205–214

  2. Beitollahi H, Deconinck G (2006) Fault-tolerant partitioning scheduling algorithms in real-time multiprocessor systems. In: Proceedings of 12th Pacific Rim International Symposium on Dependable Computing (PRDC’06), pp 296–304

  3. Bini E, Buttazzo GC (2004) Biasing effects in schedulability measures. In: Proceedings of 16th Euromicro Conference on Real-Time Systems, 2004. ECRTS 2004. IEEE, pp 196–203

  4. Chantem T, Hu XS, Dick RP (2011) Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. IEEE Trans Very Large Scale Integr Syst 19(10):1884–1897

    Article  Google Scholar 

  5. Chaturvedi V, Huang H, Quan G (2010) Leakage aware scheduling on maximum temperature minimization for periodic hard real-time systems. In: Proceedings of IEEE 10th International Conference on Computer and Information Technology (ICCIT), pp 1802–1809

  6. Coskun AK, Rosing TS, Whisnant K (2007) Temperature aware task scheduling in MPSoCs. In: Proceedings of 2007 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp 1659–1664

  7. Ebrahimi M, Tenhunen H, Dehyadegari M (2013) Fuzzy-based adaptive routing algorithm for networks-on-chip. J Syst Archit 59(7):516–527

    Article  Google Scholar 

  8. Guo Y, Zhu D, Aydin H (2013) Generalized standby-sparing techniques for energy-efficient fault tolerance in multiprocessor real-time systems. In: Proceedings of IEEE 19th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), pp 62–71

  9. Haque MA, Aydin H, Zhu D (2011) Energy-aware standby-sparing technique for periodic real-time applications. In: Proceedings of IEEE 29th International Conference on Computer Design (ICCD), pp 190–197

  10. Jayaseelan R, Mitra T (2008) Temperature aware task sequencing and voltage scaling. In: Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design, pp 618–623

  11. Kumar R, Tullsen DM, Jouppi NP (2006) Core architecture optimization for heterogeneous chip multiprocessors. In: Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques, pp 23–32

  12. Li D, Wu J (2012) Energy-aware scheduling on multiprocessor platforms. Springer, Berlin

    Google Scholar 

  13. Li X, Jia Z, Ju L (2013) Slack-time-aware energy efficient scheduling for multiprocessor SoCs. In: 2013 IEEE 10th International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing. IEEE, pp 278–285

  14. Moghaddas V, Fazeli M, Patooghy A (2016) Reliability-oriented scheduling for static-priority real-time tasks in standby-sparing systems. Microprocess Microsyst 45:208–215

    Article  Google Scholar 

  15. Pedrycz W (1994) Why triangular membership functions? J Fuzzy Sets Syst 64(1):21–30

    Article  MathSciNet  Google Scholar 

  16. Quan G, Chaturvedi V (2010) Feasibility analysis for temperature-constraint hard real-time periodic tasks. IEEE Trans Ind Inform 6(3):329–339

    Article  Google Scholar 

  17. Saha S, Lu Y, Deogun JS (2012) Thermal-constrained energy-aware partitioning for heterogeneous multi-core multiprocessor real-time systems. In: Proceedings of IEEE 18th International Conference On Embedded and Real-time Computing Systems and Applications (RTCSA), pp 41–50

  18. Sheikh HF, Ahmad I, Wang Z, Ranka S (2012) An overview and classification of thermal-aware scheduling techniques for multi-core processing systems. Sustain Comput Inform Syst 2(3):151–169

    Google Scholar 

  19. Skadron K, Stan MR, Sankaranarayanan K, Huang W, Velusamy S, Tarjan D (2004) Temperature-aware microarchitecture: modeling and implementation. ACM Trans Archit Code Optim 1(1):94–125

    Article  Google Scholar 

  20. Tyagi SKS, Jain DK, Fernandes SL, Muhuri PK (2017) Thermal-aware power-efficient deadline based task allocation in multi-core processor. J Comput Sci 19:112–120

    Article  Google Scholar 

  21. Viswanath R, Wakharkar V, Watwe A, Lebonheur V (2000) Thermal performance challenges from silicon to systems. Intel Technol J 4(3):1–16

    Google Scholar 

  22. Yuan L, Leventhal S, Qu G (2006) Temperature-aware leakage minimization technique for real-time systems. In: 2006 IEEE/ACM International Conference on Computer Aided Design. IEEE, pp 761–764

  23. Zeng G, Matsubara Y, Tomiyama H, Takada H (2016) Energy-aware task migration for multiprocessor real-time systems. J Future Gener Comput Syst 56:220–228

    Article  Google Scholar 

  24. Zhao B, Aydin H, Zhu D (2012) Energy management under general task-level reliability constraints. In: Proceedings of IEEE 18th Real-time and Embedded Technology and Applications Symposium (RTAS), pp 285–294

  25. Zhou J, Wei T, Chen M, Yan J, Hu XS, Ma Y (2016) Thermal-aware task scheduling for energy minimization in heterogeneous real-time MPSoC systems. IEEE Trans CAD Integr Circuits Syst 35(8):1269–1282

    Article  Google Scholar 

  26. Zhou J, Yan J, Cao K, Tan Y, Wei T, Chen M, Zhang G, Chen X, Hu S (2018) Thermal-aware correlated two-level scheduling of real-time tasks with reduced processor energy on heterogeneous MPSoCs. J Syst Archit 82:1–11

    Article  Google Scholar 

  27. Zhou J, Yan J, Chen J, Wei T (2016) Peak temperature minimization via task allocation and splitting for heterogeneous MPSoC real-time systems. J Signal Process Syst 84(1):111–121

    Article  Google Scholar 

  28. Zhou J, Yan J, Wei T, Chen M, Hu XS (2017) Energy-adaptive scheduling of imprecise computation tasks for QoS optimization in real-Time MPSoC systems. In: Proceedings of 2017 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp 1402–1407

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Hakem Beitollahi.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Ekhtiyari, Z., Moghaddas, V. & Beitollahi, H. A temperature-aware and energy-efficient fuzzy technique to schedule tasks in heterogeneous MPSoC systems. J Supercomput 75, 5398–5419 (2019). https://doi.org/10.1007/s11227-019-02807-8

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-019-02807-8

Keywords

Navigation