Skip to main content

Advertisement

Log in

Reliable congestion-aware path prediction mechanism in 2D NoCs based on EFuNN

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

The efficiency of networks-on-chip (NoC) is affected by related routing algorithms. This paper aims to develop a reliable routing mechanism in 2D mesh-based NoCs based on evolving fuzzy neural network (EFuNN). Inspiring the advantages of the neural network and the fuzzy system, EFuNN is used for training the network. Online training of EFuNN is faster than that of conventional neural networks trained by the backpropagation algorithm. The proposed algorithm examines distance to the destination, network traffic status, and link faults of the area around the current switch obtaining the reliability metric; it chooses the best path from available paths using fuzzy neural network. Training the network to respond to the routing requests at a specific time is an advantage of using EFuNN. Experimental results show that the on-chip network utilizing the proposed method outperforms state-of-the-art research works in terms of reliability, latency, and throughput regarding different traffic patterns for various 2D mesh topologies.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15

Similar content being viewed by others

References

  1. Hemani A, Jantsch A, Kumar S, Postula A, Oberg J, Millberg M, Lindqvist D (2000) Network on chip: An architecture for billion transistor era. In: Proceeding of the IEEE NorChip Conference, p 11

  2. Dally WJ, Towles B (2001) Route packets, not wires: on-chip interconnection networks. In: Proceedings OF Design Automation Conference, IEEE, pp 684–689

  3. Benini L, De Micheli G (2002) Networks on chips: a new SoC paradigm. Comput IEEE Comput Soc 35:70–78

    Article  Google Scholar 

  4. Jantsch A, Tenhunen H (2003) Networks on chip, vol 396. Springer, Berlin

    Book  Google Scholar 

  5. Bjerregaard T, Mahadevan S (2006) A survey of research and practices of network-on-chip. ACM Comput Surv (CSUR) 38(1):1

    Article  Google Scholar 

  6. Murali S, De Micheli G (2004) Bandwidth-constrained mapping of cores onto NoC architectures. In: Proceedings of Design, Automation and Test in Europe Conference and Exhibition, IEEE, pp 896–901

  7. Hu J, Marculescu R (2005) Energy-and performance-aware mapping for regular NoC architectures. IEEE Trans Comput Aided Des Integr Circ Syst 24(4):551–562

    Article  Google Scholar 

  8. Gabis AB, Bomel P, Sevaux M (2018) Bi-objective cost function for adaptive routing in network-on-chip. In: IEEE Transactions on Multi-Scale Computing Systems

  9. Alonso MG, Cardo JF (2016) PROSA: protocol-driven NoC architecture. In: 2016 Tenth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), IEEE, pp 1–8

  10. Monemi A, Ooi CY, Palesi M, Marsono MN (2016) Low latency network-on-chip router using static straight allocator. In: 2016 3rd International Conference on Information Technology, Computer, and Electrical Engineering (ICITACEE), IEEE, pp 2–9

  11. Chandramohan A, Rao M, Arumugam MS (2006) Two new and useful defuzzification methods based on root mean square value. Soft Comput 10(11):1047–1059

    Article  Google Scholar 

  12. Demuth HB, Beale MH, De Jess O, Hagan MT (2014) Neural network design. Martin Hagan, Boston

    Google Scholar 

  13. Linkens DA, Nyongesa HO (1996) Learning systems in intelligent control: an appraisal of fuzzy, neural and genetic algorithm control applications. IEE Proc Control Theory Appl 143(4):367–386

    Article  Google Scholar 

  14. Kasabov N (2001) Evolving fuzzy neural networks for supervised/unsupervised online knowledge-based learning. IEEE Trans Syst Man Cybern Part B (Cybern) 31(6):902–918

    Article  Google Scholar 

  15. Jang J-S (1993) ANFIS: adaptive-network-based fuzzy inference system. IEEE Trans Syst Man Cybern 23(3):665–685

    Article  Google Scholar 

  16. Li M, Zeng Q-A, Jone W-B (2006) DyXY: a proximity congestion-aware deadlock-free dynamic routing method for network on chip. In: Proceedings of the 43rd Annual Design Automation Conference, ACM, pp 849–852

  17. Farahnakian F, Ebrahimi M, Daneshtalab M, Plosila J, Liljeberg P (2012) Optimized Q-learning model for distributing traffic in on-chip networks. In: 2012 IEEE 3rd International Conference on Networked Embedded Systems for Every Application (NESEA), IEEE, pp 1–8

  18. Ebrahimi M, Tenhunen H, Dehyadegari M (2013) Fuzzy-based adaptive routing algorithm for networks-on-chip. J Syst Architect 59(7):516–527

    Article  Google Scholar 

  19. Kinsy MA, Khadka S, Isakov M (2017) PreNoc: neural network based predictive routing for network-on-chip architectures. In: Proceedings of the on Great Lakes Symposium on VLSI 2017, ACM, pp 65–70

  20. Dally WJ, Towles BP (2004) Principles and practices of interconnection networks. Elsevier, Amsterdam

    Google Scholar 

  21. Glass CJ, Ni LM (1992) The turn model for adaptive routing. ACM SIGARCH Comput Archit News 20(2):278–287

    Article  Google Scholar 

  22. Chiu G-M (2000) The odd-even turn model for adaptive routing. IEEE Trans Parallel Distrib Syst 11(7):729–738

    Article  Google Scholar 

  23. Hu J, Marculescu R (2004) DyAD: smart routing for networks-on-chip. In: Proceedings of the 41st Annual Design Automation Conference, ACM, pp 260–263

  24. Liu L, Zhu Z, Zhou D, Yang Y (2017) A fair arbitration for network-on-chip routing with odd-even turn model. Microelectron J 64:1–8

    Article  Google Scholar 

  25. Gratz P, Grot B, Keckler SW (2008) Regional congestion awareness for load balance in networks-on-chip. In: IEEE 14th International Symposium on High Performance Computer Architecture, HPCA 2008, IEEE, pp 203–214

  26. Ma S, Enright Jerger N, Wang Z (2011) DBAR: an efficient routing algorithm to support multiple concurrent applications in networks-on-chip. In: ACM SIGARCH Computer Architecture News, vol 3, ACM, pp 413–424

  27. Yan J (2016) Enhanced global congestion awareness (EGCA) for load balance in networks-on-chip. J Supercomput 72(2):567–587

    Article  Google Scholar 

  28. Shu H, P-j Ma, Shi J-y XuZ, L-a Yang (2014) SRNoC: a novel high performance shared-resource routing scheme for network-on-chip. Microelectron J 45(8):1103–1117

    Article  Google Scholar 

  29. Zhang Z, Greiner A, Taktak S (2008) A reconfigurable routing algorithm for a fault-tolerant 2D-mesh network-on-chip. In: Proceedings of the 45th Annual Design Automation Conference, ACM, pp 441–446

  30. Chen Y-Y, Chang E-J, Hsin H-K, Chen K-CJ, Wu A-YA (2017) Path-diversity-aware fault-tolerant routing algorithm for network-on-chip systems. IEEE Trans Parallel Distrib Syst 28(3):838–849

    Article  Google Scholar 

  31. Xie R, Cai J, Wang P (2016) RFRA: reconfigurable and fault-tolerant routing algorithm without virtual channels for 2D network-on-chip. In: 2016 13th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), IEEE, pp 1615–1617

  32. Xu X, Zuo L, Huang Z (2014) Reinforcement learning algorithms with function approximation: recent advances and applications. Inf Sci 261:1–31

    Article  MathSciNet  Google Scholar 

  33. Watkins CJCH, Dayan P (1992) Q-learning. Mach Learn 8(3):279–292. https://doi.org/10.1007/bf00992698

    Article  MATH  Google Scholar 

  34. Boyan JA, Littman ML (1994) Packet routing in dynamically changing networks: a reinforcement learning approach. In: Advances in Neural Information Processing Systems, pp 671–678

  35. Puthal MK, Singh V, Gaur MS, Laxmi V (2011) C-routing: an adaptive hierarchical NoC routing methodology. In: 2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip (VLSI-SoC), IEEE, pp 392–397

  36. Farahnakian F, Ebrahimi M, Daneshtalab M, Liljeberg P, Plosila J (2014) Bi-LCQ: a low-weight clustering-based Q-learning approach for NoCs. Microprocess Microsyst 38(1):64–75

    Article  Google Scholar 

  37. Ascia G, Palesi M, Catania V (2013) An adaptive output selection function based on a fuzzy rule base system for network on chip. In: 2013 Euromicro Conference on Digital System Design (DSD), IEEE, pp 505–512

  38. Tatas K, Chrysostomou C (2017) Hardware implementation of dynamic fuzzy logic based routing in network-on-chip. Microprocess Microsyst 52:80–88

    Article  Google Scholar 

  39. Kasabov N, Woodford B (1999) Rule insertion and rule extraction from evolving fuzzy neural networks: algorithms and applications for building adaptive, intelligent expert systems. In: Proceedings of the FUZZ-IEEE, pp 1406–1411

  40. Abraham A (2001) Neuro fuzzy systems: state-of-the-art modeling techniques. In: Connectionist Models of Neurons, Learning Processes, and Artificial Intelligence, pp 269–276

    Chapter  Google Scholar 

  41. Kumar EB, Sundaresan M (2015) Fuzzy inference system based edge detection using fuzzy membership functions. Int J Comput Appl 112:4

    Google Scholar 

  42. Principe JC, Euliano NR, Lefebvre WC (2000) Neural and adaptive systems: fundamentals through simulations, vol 672. Wiley, New York

    Google Scholar 

  43. Tedesco L, Mello A, Garibotti D, Calazans N, Moraes F (2005) Traffic generation and performance evaluation for mesh-based NoCs. In: 2005 18th Symposium on Integrated Circuits and Systems Design, 4–7 Sept 2005, pp 184–189. https://doi.org/10.1109/sbcci.2005.4286854

  44. Ben-Itzhak Y, Zahavi E, Cidon I, Kolodny A (2012) HNOCS: modular open-source simulator for heterogeneous NoCs. In: 2012 International Conference on Embedded Computer Systems (SAMOS), IEEE, pp 51–57

  45. Varga A (1999) Using the OMNeT++ discrete event simulation system in education. IEEE Trans Educ 42(4):11

    Google Scholar 

  46. Feng C, Lu Z, Jantsch A, Zhang M, Xing Z (2013) Addressing transient and permanent faults in NoC with efficient fault-tolerant deflection router. IEEE Trans Very Large Scale Integr VLSI Syst 21(6):1053–1066. https://doi.org/10.1109/TVLSI.2012.2204909

    Article  Google Scholar 

  47. Liu J, Harkin J, Li Y, Maguire L (2015) Low cost fault-tolerant routing algorithm for networks-on-chip. Microprocess Microsyst 39(6):358–372

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Vahid Sattari-Naeini.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Rezaei-Ravari, M., Sattari-Naeini, V. Reliable congestion-aware path prediction mechanism in 2D NoCs based on EFuNN. J Supercomput 74, 6102–6125 (2018). https://doi.org/10.1007/s11227-018-2515-2

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-018-2515-2

Keywords

Navigation