Skip to main content
Log in

Optimal configuration of a multicore server processor for managing the power and performance tradeoff

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

We consider the problem of power and performance management for a multicore server processor in a cloud computing environment by optimal server configuration for a specific application environment. The motivation of the study is that such optimal virtual server configuration is important for dynamic resource provision in a cloud computing environment to optimize the power and performance tradeoff for certain specific type of applications. Our strategy is to treat a multicore server processor as an M/M/m queueing system with multiple servers. The system performance measures are the average task response time and the average power consumption. Two core speed and power consumption models are considered, namely, the idle-speed model and the constant-speed model. Our investigation includes justification of centralized management of computing resources, server speed constrained optimization, power constrained performance optimization, and performance constrained power optimization. Our main results are (1) cores should be managed in a centralized way to provide the highest performance without consumption of more energy in cloud computing; (2) for a given server speed constraint, fewer high-speed cores perform better than more low-speed cores; furthermore, there is an optimal selection of server size and core speed which can be obtained analytically, such that a multicore server processor consumes the minimum power; (3) for a given power consumption constraint, there is an optimal selection of server size and core speed which can be obtained numerically, such that the best performance can be achieved, i.e., the average task response time is minimized; (4) for a given task response time constraint, there is an optimal selection of server size and core speed which can be obtained numerically, such that minimum power consumption can be achieved while the given performance guarantee is maintained.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Albers S (2010) Energy-efficient algorithms. Commun ACM 53(5):86–96

    Article  MathSciNet  Google Scholar 

  2. Aydin H, Melhem R, Mossé D, Mejía-Alvarez P (2004) Power-aware scheduling for periodic real-time tasks. IEEE Trans Comput 53(5):584–600

    Article  Google Scholar 

  3. Bansal N, Kimbrel T, Pruhs K (2004) Dynamic speed scaling to manage energy and temperature. In: Proceedings of the 45th IEEE symposium on foundation of computer science, pp 520–529

    Chapter  Google Scholar 

  4. Barnett JA (2005) Dynamic task-level voltage scheduling optimizations. IEEE Trans Comput 54(5):508–520

    Article  Google Scholar 

  5. Benini L, Bogliolo A, De Micheli G (2000) A survey of design techniques for system-level dynamic power management. IEEE Trans Very Large Scale Integr (VLSI) Syst 8(3):299–316

    Article  Google Scholar 

  6. Bunde DP (2006) Power-aware scheduling for makespan and flow. In: Proceedings of the 18th ACM symposium on parallelism in algorithms and architectures, pp 190–196

    Google Scholar 

  7. Chan H-L, Chan W-T, Lam T-W, Lee L-K, Mak K-S, Wong PWH (2007) Energy efficient online deadline scheduling. In: Proceedings of the 18th ACM-SIAM symposium on discrete algorithms, pp 795–804

    Google Scholar 

  8. Chandrakasan AP, Sheng S, Brodersen RW (1992) Low-power CMOS digital design. IEEE J Solid-State Circuits 27(4):473–484

    Article  Google Scholar 

  9. Cho S, Melhem RG (2010) On the interplay of parallelization, program performance, and energy consumption. IEEE Trans Parallel Distrib Syst 21(3):342–353

    Article  Google Scholar 

  10. Hong I, Kirovski D, Qu G, Potkonjak M, Srivastava MB (1999) Power optimization of variable-voltage core-based systems. IEEE Trans Comput-Aided Des Integr Circuits Syst 18(12):1702–1714

    Article  Google Scholar 

  11. http://multicore.amd.com/us-en/AMD-multicore/multicore-Advantages.aspx

  12. http://www.intel.com/technology/intelligentpower/index.htm

  13. Im C, Ha S, Kim H (2004) Dynamic voltage scheduling with buffers in low-power multimedia applications. ACM Trans Embed Comput Syst 3(4):686–705

    Article  Google Scholar 

  14. Intel, Automated energy efficiency for the intelligent business. White Paper

  15. Khan SU, Ahmad I (2009) A cooperative game theoretical technique for joint optimization of energy consumption and response time in computational grids. IEEE Trans Parallel Distrib Syst 20(3):346–360

    Article  MathSciNet  Google Scholar 

  16. Khargharia B, Hariri S, Szidarovszky F, Houri M, El-Rewini H, Khan S, Ahmad I, Yousif MS (2007) Autonomic power and performance management for large-scale data centers. NFS next generation software program

  17. Kleinrock L (1975) Queueing systems, volume 1: Theory. Wiley, New York

    Google Scholar 

  18. Krishna CM, Lee Y-H (2003) Voltage-clock-scaling adaptive scheduling techniques for low power in hard real-time systems. IEEE Trans Comput 52(12):1586–1593

    Article  Google Scholar 

  19. Kwon W-C, Kim T (2005) Optimal voltage allocation techniques for dynamically variable voltage processors. ACM Trans Embed Comput Syst 4(1):211–230

    Article  Google Scholar 

  20. Lee YC, Zomaya AY (2011) Energy conscious scheduling for distributed computing systems under different operating conditions. IEEE Trans Parallel Distrib Syst 22(8):1374–1381

    Article  Google Scholar 

  21. Lee Y-H, Krishna CM (2003) Voltage-clock scaling for low energy consumption in fixed-priority real-time systems. Real-Time Syst 24(3):303–317

    Article  MATH  Google Scholar 

  22. Li K (2008) Performance analysis of power-aware task scheduling algorithms on multiprocessor computers with dynamic voltage and speed. IEEE Trans Parallel Distrib Syst 19(11):1484–1497

    Article  Google Scholar 

  23. Li K Energy efficient scheduling of parallel tasks on multiprocessor computers. J Supercomput. doi:10.1007/s11227-010-0416-0

  24. Li K (2011) Power allocation and task scheduling on multiprocessor computers with energy and time constraints. In: Lee Y-C, Zomaya A (eds) Energy aware distributed computing systems. Wiley series on parallel and distributed computing, vol 1

    Google Scholar 

  25. Li K (2011) Algorithms and analysis of energy-efficient scheduling of parallel tasks. In: Ranka S, Ahmad I (eds) Handbook of energy-aware and green computing. Chapman and Hall/CRC Press, London

    Google Scholar 

  26. Li M, Yao FF (2006) An efficient algorithm for computing optimal discrete voltage schedules. SIAM J Comput 35(3):658–671

    Article  MathSciNet  MATH  Google Scholar 

  27. Li M, Liu BJ, Yao FF (2006) Min-energy voltage allocation for tree-structured tasks. J Comb Optim 11:305–319

    Article  MathSciNet  MATH  Google Scholar 

  28. Li M, Yao AC, Yao FF (2006) Discrete and continuous min-energy schedules for variable voltage processors. Proc Natl Acad Sci USA 103(11):3983–3987

    Article  Google Scholar 

  29. Lorch JR, Smith AJ (2004) PACE: a new approach to dynamic voltage scaling. IEEE Trans Comput 53(7):856–869

    Article  Google Scholar 

  30. Mahapatra RN, Zhao W (2005) An energy-efficient slack distribution technique for multimode distributed real-time embedded systems. IEEE Trans Parallel Distrib Syst 16(7):650–662

    Article  Google Scholar 

  31. Quan G, Hu XS (2007) Energy efficient DVS schedule for fixed-priority real-time systems. ACM Trans Embed Comput Syst 6(4):29

    Article  Google Scholar 

  32. Rusu C, Melhem R, Mossé D (2002) Maximizing the system value while satisfying time and energy constraints. In: Proceedings of the 23rd IEEE real-time systems symposium, pp 256–265

    Google Scholar 

  33. Shin D, Kim J (2003) Power-aware scheduling of conditional task graphs in real-time multiprocessor systems. In: Proceedings of the international symposium on low power electronics and design, pp 408–413

    Google Scholar 

  34. Shin D, Kim J, Lee S (2001) Intra-task voltage scheduling for low-energy hard real-time applications. IEEE Des Test Comput 18(2):20–30

    Article  MathSciNet  Google Scholar 

  35. Stan MR, Skadron K (2003) Guest editors’ introduction: power-aware computing. IEEE Comput 36(12):35–38

    Article  Google Scholar 

  36. Unsal OS, Koren I (2003) System-level power-aware design techniques in real-time systems. Proc IEEE 91(7):1055–1069

    Article  Google Scholar 

  37. Venkatachalam V, Franz M (2005) Power reduction techniques for microprocessor systems. ACM Comput Surv 37(3):195–237

    Article  Google Scholar 

  38. Wang X, Wang Y (2011) Coordinating power control and performance management for virtualized server clusters. IEEE Trans Parallel Distrib Syst 22(2):245–259

    Article  Google Scholar 

  39. Wang X, Chen M, Lefurgy C, Keller TW (2009) SHIP: scalable hierarchical power control for large-scale data centers. In: Proceedings of the 18th international conference on parallel architectures and compilation techniques, pp 91–100

    Chapter  Google Scholar 

  40. Weiser M, Welch B, Demers A, Shenker S (1994) Scheduling for reduced CPU energy. In: Proceedings of the 1st USENIX symposium on operating systems design and implementation, pp 13–23

    Google Scholar 

  41. Yang P, Wong C, Marchal P, Catthoor F, Desmet D, Verkest D, Lauwereins R (2001) Energy-aware runtime scheduling for embedded-multiprocessor SOCs. IEEE Des Test Comput 18(5):46–58

    Article  Google Scholar 

  42. Yao F, Demers A, Shenker S (1995) A scheduling model for reduced CPU energy. In: Proceedings of the 36th IEEE symposium on foundations of computer science, pp 374–382

    Google Scholar 

  43. Yun H-S, Kim J (2003) On energy-optimal voltage scheduling for fixed-priority hard real-time systems. ACM Trans Embed Comput Syst 2(3):393–430

    Article  Google Scholar 

  44. Zhai B, Blaauw D, Sylvester D, Flautner K (2004) Theoretical and practical limits of dynamic voltage scaling. In: Proceedings of the 41st design automation conference, pp 868–873

    Google Scholar 

  45. Zheng X, Cai Y (2010) Optimal server provisioning and frequency adjustment in server clusters. In: 39th international conference on parallel processing workshops, pp 504–511

    Chapter  Google Scholar 

  46. Zheng X, Cai Y (2010) Optimal server allocation and frequency modulation on multi-core based server clusters. Int J Green Comput 1(2):18–30

    Article  MathSciNet  Google Scholar 

  47. Zheng X, Cai Y (2010) Achieving energy proportionality in server clusters. Int J Comput Netw 1(2):21–35

    MathSciNet  Google Scholar 

  48. Zhong X, Xu C-Z (2007) Energy-aware modeling and scheduling for dynamic voltage scaling with statistical real-time guarantee. IEEE Trans Comput 56(3):358–372

    Article  MathSciNet  Google Scholar 

  49. Zhu D, Melhem R, Childers BR (2003) Scheduling with dynamic voltage/speed adjustment using slack reclamation in multiprocessor real-time systems. IEEE Trans Parallel Distrib Syst 14(7):686–700

    Article  Google Scholar 

  50. Zhu D, Mossé D, Melhem R (2004) Power-aware scheduling for AND/OR graphs in real-time systems. IEEE Trans Parallel Distrib Syst 15(9):849–864

    Article  Google Scholar 

  51. Zhuo J, Chakrabarti C (2008) Energy-efficient dynamic task scheduling algorithms for DVS systems. ACM Trans Embed Comput Syst 7(2):17

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Keqin Li.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Li, K. Optimal configuration of a multicore server processor for managing the power and performance tradeoff. J Supercomput 61, 189–214 (2012). https://doi.org/10.1007/s11227-011-0686-1

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-011-0686-1

Keywords

Navigation