Skip to main content
Log in

Tractable approximate robust geometric programming

  • Published:
Optimization and Engineering Aims and scope Submit manuscript

Abstract

The optimal solution of a geometric program (GP) can be sensitive to variations in the problem data. Robust geometric programming can systematically alleviate the sensitivity problem by explicitly incorporating a model of data uncertainty in a GP and optimizing for the worst-case scenario under this model. However, it is not known whether a general robust GP can be reformulated as a tractable optimization problem that interior-point or other algorithms can efficiently solve. In this paper we propose an approximation method that seeks a compromise between solution accuracy and computational efficiency.

The method is based on approximating the robust GP as a robust linear program (LP), by replacing each nonlinear constraint function with a piecewise-linear (PWL) convex approximation. With a polyhedral or ellipsoidal description of the uncertain data, the resulting robust LP can be formulated as a standard convex optimization problem that interior-point methods can solve. The drawback of this basic method is that the number of terms in the PWL approximations required to obtain an acceptable approximation error can be very large. To overcome the “curse of dimensionality” that arises in directly approximating the nonlinear constraint functions in the original robust GP, we form a conservative approximation of the original robust GP, which contains only bivariate constraint functions. We show how to find globally optimal PWL approximations of these bivariate constraint functions.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  • Avriel M (ed) (1980) Advances in geometric programming. In: Mathematical concept and methods in science and engineering, vol 21. Plenum, New York

  • Avriel M, Dembo R, Passy U (1975) Solution of generalized geometric programs. Int J Numer Methods Eng 9:149–168

    Article  MATH  MathSciNet  Google Scholar 

  • Beatson R (1981) Convex approximation by splines. SIAM J Math Anal 12:549–559

    Article  MATH  MathSciNet  Google Scholar 

  • Beatson R (1982) Monotone and convex approximation by splines: error estimates and a curve fitting algorithm. SIAM J Numer Anal 19:1278–1285

    Article  MATH  MathSciNet  Google Scholar 

  • Ben-Tal A, Nemirovski A (1998) Robust convex optimization. Math Oper Res 23(4):769–805

    MATH  MathSciNet  Google Scholar 

  • Ben-Tal A, Nemirovski A (1999) Robust solutions of uncertain linear programs. Oper Res Lett 25:1–13

    Article  MATH  MathSciNet  Google Scholar 

  • Ben-Tal A, Nemirovski A (2001) On polyhedral approximations of the second-order cone. Math Oper Res 26(2):193–205

    Article  MATH  MathSciNet  Google Scholar 

  • Ben-Tal A, Nemirovski A, Roos C (2002) Robust solutions of uncertain quadratic and conic-quadratic problems. SIAM J Optim 13(2):535–560

    Article  MATH  MathSciNet  Google Scholar 

  • Boni O, Ben-Tal A, Nemirovski A (2007) Robust solutions to conic quadratic problems and their applications. Optim Eng, to appear

  • Boyd S, Kim S-J, Patil D, Horowitz M (2005) Digital circuit sizing via geometric programming. Oper Res 53(6):899–932

    Article  MathSciNet  MATH  Google Scholar 

  • Boyd S, Kim S-J, Vandenberghe L, Hassibi A (2007) A tutorial on geometric programming. Optim Eng 8(1):67–127

    Article  MathSciNet  MATH  Google Scholar 

  • Boyd S, Vandenberghe L (2004) Convex optimization. Cambridge University Press, Cambridge

    MATH  Google Scholar 

  • Chen W, Hsieh C-T, Pedram M (2000) Simultaneous gate sizing and placement. IEEE Trans Comput-Aided Des Integr Circuits Syst 19(2):206–214

    Article  Google Scholar 

  • Chiang M, Boyd S (2004) Geometric programming duals of channel capacity and rate distortion. IEEE Trans Inf Theory 50(2):245–258

    Article  MathSciNet  Google Scholar 

  • Daems W, Gielen G, Sansen W (2003) Simulation-based generation of posynomial performance models for the sizing of analog integrated circuits. IEEE Trans Comput-Aided Des Integr Circuits Syst 22(5):517–534

    Article  Google Scholar 

  • Dawson J, Boyd S, Hershenson M, Lee T (2001) Optimal allocation of local feedback in multistage amplifiers via geometric programming. IEEE Trans Circuits Syst I 48(1):1–11

    Article  Google Scholar 

  • Duffin R, Peterson E, Zener C (1967) Geometric programming—theory and application. Wiley, New York

    MATH  Google Scholar 

  • Dutta A, Rama D (1992) An optimization model of communications satellite planning. IEEE Trans Commun 40(9):1463–1473

    Article  Google Scholar 

  • Ecker J (1980) Geometric programming: methods, computations and applications. SIAM Rev 22(3):338–362

    Article  MATH  MathSciNet  Google Scholar 

  • Feijoo B, Meyer P (1988) Piecewise-linear approximation methods for nonseparable convex optimization. Manag Sci 34(3):411–419

    MATH  MathSciNet  Google Scholar 

  • Gao B, Newman D, Popov V (1995) Convex approximation by rational functions. SIAM J Math Anal 26(2):488–499

    Article  MATH  MathSciNet  Google Scholar 

  • Ghaoui LE, Lebret H (1997) Robust solutions to least-squares problems with uncertain data. SIAM J Matrix Anal Appl 18(4):1035–1064

    Article  MATH  MathSciNet  Google Scholar 

  • Ghaoui LE, Lebret H (1998) Robust solutions to uncertain semidefinite programs. SIAM J Optim 9(1):33–52

    Article  MATH  Google Scholar 

  • Glineur F (2000) Polyhedral approximation of the second-order cone: computational experiments. IMAGE Technical Report 0001, Faculté Polytechnique de Mons, Mons, Belgium

  • Goldfarb D, Iyengar G (2003) Robust convex quadratically constrained programming. Math Program 97(3):495–515

    Article  MATH  MathSciNet  Google Scholar 

  • Greenberg H (1995) Mathematical programming models for environmental quality control. Oper Res 43(4):578–622

    MATH  Google Scholar 

  • Hershenson M (2002) Design of pipeline analog-to-digital converters via geometric programming. In: Proceedings of the IEEE/ACM international conference on computer aided design, San Jose, CA, pp 317–324

  • Hershenson M, Boyd S, Lee TH (2001) Optimal design of a CMOS op-amp via geometric programming. IEEE Trans Comput-Aided Des Integr Circuits Syst 20(1):1–21

    Article  Google Scholar 

  • Hsiung K-L, Kim S-J, Boyd S (2005) Power control in lognormal fading wireless channels with uptime probability specifications via robust geometric programming. In: Proceedings 2005 IEEE American control conference, Portland, OR, vol 6, pp 3955–3959

  • Hsiung K-L, Kim S-J, Boyd S (2006) Tractable approximate robust geometric programming. Technical Report of Department of Electrical Engineering, Stanford University. Available at http://www.stanford.edu/~boyd/rgp.html

  • Hu H (1991) Convexity preserving approximation by free knot splines. SIAM J Math Anal 22:1183–1191

    Article  MATH  MathSciNet  Google Scholar 

  • Kandukuri S, Boyd S (2002) Optimal power control in interference-limited fading wireless channels with outage-probability specifications. IEEE Trans Wirel Commun 1(1):46–55

    Article  Google Scholar 

  • Karlof J, Chang Y (1997) Optimal permutation codes for the Gaussian channel. IEEE Trans Inf Theory 43(1):356–358

    Article  MATH  MathSciNet  Google Scholar 

  • Kortanek K, Xu X, Ye Y (1997) An infeasible interior-point algorithm for solving primal and dual geometric programs. Math Program 76(1):155–181

    Article  MathSciNet  Google Scholar 

  • Lobo M, Vandenberghe L, Boyd S, Lebret H (1998) Applications of second-order cone programming. Linear Algebra Appl 284(1–3):193–228

    Article  MATH  MathSciNet  Google Scholar 

  • Magnani A, Boyd S (2006) Convex piecewise-linear fitting. Optim Eng, submitted. Available at http://www.stanford.edu/~boyd/cvx_pwl_fit.html

  • Mazumdar M, Jefferson T (1983) Maximum likelihood estimates for multinomial probabilities via geometric programming. Biometrika 70(1):257–261

    Article  MATH  MathSciNet  Google Scholar 

  • McAllister D, Roullier J (1978) Interpolation by convex quadratic splines. Math Comput 32:1154–1162

    Article  MATH  Google Scholar 

  • Mohan S, Hershenson M, Boyd S, Lee T (2000) Bandwidth extension in CMOS with optimized on-chip inductors. IEEE J Solid-State Circuits 35(3):346–355

    Article  Google Scholar 

  • Nesterov Y, Nemirovsky A (1994) Interior-point polynomial methods in convex programming. Studies in applied mathematics, vol 13. SIAM, Philadelphia

    Google Scholar 

  • O’Neill D, Julian D, Boyd S (2006) Seeking Foschini’s genie: optimal rates and powers in wireless networks. IEEE Trans Veh Technol (accepted for publication). Available at http://www.stanford.edu/~boyd/foschini_genie.html

  • Peterson E (1976) Geometric programming. SIAM Rev 18(1):1–51

    Article  MATH  MathSciNet  Google Scholar 

  • Rajpogal J, Bricker D (1990) Posynomial geometric programming as a special case of semi-infinite linear programming. J Optim Theory Appl 66:444–475

    Google Scholar 

  • Sapatnekar S (1996) Wire sizing as a convex optimization problem: exploring the area-delay tradeoff. IEEE Trans Comput-Aided Des Integr Circuits Syst 15:1001–1011

    Article  Google Scholar 

  • Sapatnekar S, Rao V, Vaidya P, Kang S (1993) An exact solution to the transistor sizing problem for CMOS circuits using convex optimization. IEEE Trans Comput-Aided Des Integr Circuits Syst 12(11):1621–1634

    Article  Google Scholar 

  • Seong K, Narasimhan R, Cioffi JM (2006) Queue proportional scheduling via geometric programming in fading broadcast channels. IEEE J Sel Areas Commun 24(8):1593–1602

    Article  Google Scholar 

  • Singh J, Nookala V, Luo Z-Q, Sapatnekar SS (2005) Robust gate sizing by geometric programming. In: Proceedings 42nd ACM/IEEE design automation conference, Anaheim, CA, pp 315–320

  • Thakur L (1978) Error analysis for convex separable programs: the piecewise linear approximation and the bounds on the optimal objective value. SIAM J Appl Math 34(4):704–714

    Article  MATH  MathSciNet  Google Scholar 

  • Wall T, Greening D, Woolsey R (1986) Solving complex chemical equilibria using a geometric-programming based technique. Oper Res 34(3):345–355

    Article  MATH  Google Scholar 

  • Yang X, Hsiung K-L, Li X, Nausieda I, Boyd S, Pileggi L (2005) OPERA: optimization with elipsoidal uncertainty for robust analog IC design. In: Proceedings 42nd IEEE/ACM design automation conference, Anaheim, CA, pp 632–637

  • Young F, Chu C, Luk W, Wong Y (2001) Handling soft modules in general nonslicing floorplan using Lagrangian relaxation. IEEE Trans Comput-Aided Des Integr Circuits Syst 20(5):687–629

    Article  Google Scholar 

  • Zener C (1971) Engineering design by geometric programming. Wiley, New York

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Kan-Lin Hsiung.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Hsiung, KL., Kim, SJ. & Boyd, S. Tractable approximate robust geometric programming. Optim Eng 9, 95–118 (2008). https://doi.org/10.1007/s11081-007-9025-z

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11081-007-9025-z

Keywords

Navigation