Skip to main content
Log in

Technical Note: Concepts for protection of EUVL masks from particle contamination

  • Technology and Applications
  • Published:
Journal of Nanoparticle Research Aims and scope Submit manuscript

Abstract

Extreme ultraviolet lithography (EUVL) is a leading lithography technology for the next generation of semiconductor chips. Due to the lack of any useable common membrane pellicles, different approaches have to be considered in order to protect the EUV photomasks from particle contamination during all handling steps, i.e. during shipping and storage at atmospheric pressure as well as during exposure in dedicated lithography tools at low pressure (e.g. 50 mTorr). In this manuscript we present the evolution of schemes for protecting the very valuable EUVL masks from nanoparticle contamination. The proposed protection schemes are (1) maintaining the critical mask surface upside down to avoid gravitational settling of particles onto the mask; (2) use of a cover plate to reduce the volume from where particles might reach the surface; (3) apply thermal and/or electrical gradient to expose particles to a repelling thermophoretic and/or electrophoretic force and (4) use of a particle trap, surrounding the mask.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

References

  • Asbach C., Kim J.H., Yook S.J., Pui D.Y.H., Ramamoorthy A., Orvek K., Fissan H. (2005) Analytical modeling of particle stopping distance at low pressure to evaluate protection schemes for EUVL masks. Appl. Phys. Lett. 87: 234,111

    Google Scholar 

  • Choi S.J., Rader D.J., Geller A.S. (1996) Massively parallel simulations of Brownian dynamics particle transport in low pressure parallel-plate reactors. J. Vac. Sci. Technol. A 14(2): 660–665

    Article  CAS  Google Scholar 

  • Dedrick D.E., Beyer E.W., Rader D.J., Klebanoff L.E., Leung A.H. (2005). Verification studies of thermophoretic protection for extreme ultraviolet masks. J. Vac. Sci. Technol. B 23(1): 307–317

    Article  CAS  Google Scholar 

  • Fissan H. (2003) Zwischen Killerpartikeln und molekularer Kontamination. Reinraumtechnik 01/2003: 38–42

    Google Scholar 

  • Hector S., Mangat P. (2001) Review of progress in extreme ultraviolet lithography masks. J. Vac. Sci. Technol. B 19(6): 2612–2616

    Article  CAS  Google Scholar 

  • Kim J.H., Asbach C., Yook S.J., Fissan H., Orvek K., Ramamoorthy A., Yan P.Y., Pui D.Y.H. (2005a) Protection schemes for critical surfaces in vacuum environment. J. Vac. Sci. Technol. A 23(5): 1319–1324

    Article  CAS  Google Scholar 

  • Kim J.H., C. Asbach, S.J. Yook, K. Orvek, A. Ramamoorthy, H. Fissan & D.Y.H. Pui, 2005b. Investigation of thermophoretic protection with speed-controlled particles at 100 mTorr, 50 mTorr and 25 mTorr. J. Vac. Sci. Technol. A (submitted)

  • Klebanoff L. & D.J. Rader, 2000. Protection of Lithographic Components from Particle Contamination, Patent No.: 6,153,044, USA.

  • Klebanoff L. & D.J. Rader, 2001. Method for Protection of Lithographic Components from Particle Contamination, US Patent No.: 6,253,464 B1, USA.

  • Opiolka S., Fissan H. (1990) Reduzierung des Partikeltransportes auf Oberflächen. Reinraumtechnik 4: 85–88

    Google Scholar 

  • Peterson T.W., Stratmann F., Fissan H. (1989) Particle deposition on wafers: a comparison between two modeling approaches. J. Aerosol Sci. 20(6): 683–693

    Article  CAS  Google Scholar 

  • Pozzetta (2006) Series PZT600 information material: http://www.pozzetta.com/products/photomask/PZTOverview.htm

  • Schmidt F., Fissan H., Schmidt K.G. (1996). Transport of submicron particles from a leak to a perpendicular surface in a chamber at reduced pressure. J. Aerosol Sci. 27(5): 739–750

    Article  CAS  Google Scholar 

  • Waldmann L. (1959). Über die Kraft eines inhomogenen Gases auf kleine suspendierte Kugeln. Zeitschrift für Naturforschung 14a(7): 589–599

    CAS  Google Scholar 

  • Ye Y., Liu B.Y.H., Pui D.Y.H. (1993). Condensation-induced particle formation during vacuum pump down. J. Electrochem. Soc. 140(5): 1463–1468

    Article  CAS  Google Scholar 

  • Ye Y., Pui D.Y.H., Liu B.Y.H., Opiolka S., Blumhorst S., Fissan H. (1991) Thermophoretic effect of particle deposition on a free standing semiconductor wafer in a clean room. J. Aerosol Sci. 22(1): 63–72

    Article  CAS  Google Scholar 

  • Zhao J., 1990. Thermodynamics and particle formation during vacuum pump-down. PhD Thesis, University of Minnesota, Minneapolis.

Download references

Acknowledgements

This research is supported by Intel Corporation. The financial and technical support is gratefully acknowledged. The authors would like to thank Dr. Kevin Orvek and Dr. Arun Ramamoorthy for very fruitful discussions.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to David Y. H. Pui.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Asbach, C., Fissan, H., Kim, J.H. et al. Technical Note: Concepts for protection of EUVL masks from particle contamination. J Nanopart Res 8, 705–708 (2006). https://doi.org/10.1007/s11051-006-9080-y

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11051-006-9080-y

Keywords

Navigation