Skip to main content
Log in

Selective epitaxy growth of Si1−xGex layers for MOSFETs and FinFETs

  • Published:
Journal of Materials Science: Materials in Electronics Aims and scope Submit manuscript

Abstract

This article reviews the selective epitaxy growth of intrinsic, B- and C-doped SiGe layers on recessed (or flat) exposed Si areas for MOSFETs as well as on Si-fins for FinFETs. A detailed empirical model for the growth, integration issues including epitaxy quality, selectivity, dopant incorporation, and pattern dependency (or loading effect) is presented.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21
Fig. 22
Fig. 23
Fig. 24
Fig. 25
Fig. 26
Fig. 27
Fig. 28

Similar content being viewed by others

References

  1. T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffiann, K. Johnson, C. Kenyon, J. Klaus, B. Mclntyre, K. Mistry, A. Murthy, M. Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, S. Thompson, M. Bohr, A 90 nm high volume manufacturing logic technology featuring novel 45 nm gate length strained silicon CMOS transistors, in IEEE International Electron Devices Meeting, IEDM Technical Digest (2003), pp. 978–980

  2. S.E. Thompson, M. Armstrong, C. Auth, S. Cea, R. Chau, G. Glass, T. Hoffman, J. Klaus, Z. Ma, B. Mcintyre, A. Murthy, B. Obradovic, L. Shifren, S. Sivakumar, S. Tyagi, T. Ghani, K. Mistry, M. Bohr, Y. El-Mansy, A logic nanotechnology featuring strained-silicon. IEEE Electron Device Lett. 25, 191–193 (2004)

    Article  Google Scholar 

  3. S.E. Thompson, M. Armstrong, C. Auth, M. Alavi, M. Buehler, R. Chau, S. Cea, T. Ghani, G. Glass, T. Hoffman, C.-H. Jan, C. Kenyon, J. Klaus, K. Kuhn, Z. Ma, B. Mcintyre, K. Mistry, A. Murthy, B. Obradovic, R. Nagisetty, P. Nguyen, S. Sivakumar, R. Shaheed, L. Shifren, B. Tufts, S. Tyagi, M. Bohr, Y. El-Mansy, A 90-nm logic technology featuring strained-silicon. IEEE Trans. Electron Devices 51, 1790–1797 (2004)

    Article  Google Scholar 

  4. K. Rim, J. Welser, J.L. Hoyt, J.F. Gibbons, Enhanced hole mobilities in surface-channel strained-Si pMOSFETs, in International Electron Devices Meeting (1995), p. 517

  5. K. Rim, J. Chu, H. Chen, K.A. Jenkins, T. Kanarsky, K. Lee, A. Mocuta, H. Zhu, R. Roy, J. Newbury, J. Ott, P. Petrarca, D. Lacey, S. Koester, K. Chan, D. Boyd, M. Leong, H.-S. Wong, Characteristics and device design of sub-100-nm strained-Si N- and PMOSFETs, in Symposium on VLSI Technical Digest (2002), p. 98

  6. R.T. Buhler, J.A. Martino, P.G.D. Agopian, R. Giacomini, E. Simoen, C. Claeys, Fin shape influence on the analog performance of standard and strained MuGFETs, in IEEE International SOI Conference (2010), pp. 1–2

  7. T. Chiarella, L. Witters, A. Mercha, C. Kerner, R. Dittrich, M. Rakowski et al., Migrating from planar to FinFET for further CMOS scaling: SOI or bulk? in Proceedings of the European Solid State Device Research Conference IEEE (2009), pp. 85–88

  8. M.J.H. Van Dal, N. Collaert, G. Doornbos, G. Vellianitis, G. Curatola, B.J. Pawlak et al., Highly manufacturable FinFETs with sub-10 nm fin width and high aspect ratio fabricated with immersion lithography, in IEEE Symposium on VLSI Technology (2007), pp. 110–111

  9. J.W. Matthews, A.E. Blakeslee, Defects in epitaxial multilayers. I. Misfit dislocations. J. Cryst. Growth 27, 118 (1974)

    Google Scholar 

  10. R. People, J.C. Bean, Calculation of critical layer thickness versus lattice mismatch for GexSi1−x/Si strained-layer heterostructures. Appl. Phys. Lett. 47, 229 (1985)

    Article  Google Scholar 

  11. C. Pribat, G. Servanton, L. Depoyan, D. Dutartre, Si and SiGe faceting during selective epitaxy. Solid State Electron. 53, 865–868 (2009)

    Article  Google Scholar 

  12. J. Hallstedt, M. Kolahdouz, R. Ghandi, H. Radamson, R. Wise, Pattern dependency in selective epitaxy of B-doped SiGe layers for advanced metal oxide semiconductor field effect transistors. J. Appl. Phys. 103, 0549071–0549077 (2008)

    Article  Google Scholar 

  13. C. Fellous, F. Romagna, D. Dutartre, Thermal and chemical loading effects in non selective Si/SiGe epitaxy. Mater. Sci. Eng. B 89, 323–327 (2002)

    Article  Google Scholar 

  14. M. Kolahdouz, J. Hallstedt, A. Khatibi, M. Ostling, R. Wise, D.J. Riley, H. Radamson, Comprehensive evaluation and study of pattern dependency behavior in selective epitaxial growth of B-doped SiGe layers. IEEE Trans. Nanotechnol. 8, 291–297 (2009)

    Article  Google Scholar 

  15. M. Kolahdouz, L. Maresca, M. Ostling, D. Riley, R. Wise, H. Radamson, New method to calibrate the pattern dependency of selective epitaxy of SiGe layers. Solid State Electron. 53, 858–861 (2009)

    Article  Google Scholar 

  16. J.M. Hartmann, A. Abbadie, M. Vinet, L. Clavelier, P. Holliger, D. Lafond, M.N. Semeria, P. Gentile, Growth kinetics of Si on fullsheet, patterned and silicon-on-insulator substrates. J. Cryst. Growth 257, 19–30 (2003)

    Article  Google Scholar 

  17. R. Loo, G. Wang, L. Souriau, J.C. Lin, S. Takeuchi, G. Brammertz, M. Caymax, Epitaxial Ge on standard STI patterned Si wafers: high quality virtual substrates for Ge pMOS and III/V nMOS. ECS Trans. 25(7), 335–350 (2009)

    Google Scholar 

  18. R. Loo, M. Caymax, Avoiding loading effects and facet growth key parameters for a successful implementation of selective epitaxial SiGe deposition for HBT-BiCMOS and high-mobility hetero-channel pMOS devices. Appl. Surf. Sci. 224, 24–30 (2004)

    Article  Google Scholar 

  19. R. Ghandi, M. Kolahdouz, J. Hallstedt, R. Wise, H. Wejtmans, H. Radamson, Effect of strain, substrate surface and growth rate on B-doping in selectively grown SiGe layers. Thin Solid Films 517, 334–336 (2008)

    Article  Google Scholar 

  20. Y. Min, C. Chang, M. Carroll, J.C. Sturm, 25-nm p-channel vertical MOSFETs with SiGeC source-drains. IEEE Electron Device Lett. 20, 301–303 (1999)

    Article  Google Scholar 

  21. J.L. Hoyt, H.M. Nayfeh, S. Eguchi, I. Aberg, G. Xia, T. Drake, E.A. Fitzgerald, D.A. Antoniadis, Strained silicon MOSFET technology, in International Electron Devices Meeting (2002), p. 23

  22. M.L. Lee, E.A. Fitzgerald, Hole mobility enhancements in nanometer-scale strained-silicon heterostructures grown on Ge-rich relaxed Si[sub 1 − x]Ge[sub x]. J. Appl. Phys. 94, 2590 (2003)

    Article  Google Scholar 

  23. K. Maiti, L.K. Bera, D.K. Nayaks, N.B. Chakrabartq, Hole mobility enhancement in strained-Si p-MOSFETs under high vertical field. Solid State Electron. 41, 1863–1869 (1997)

    Article  Google Scholar 

  24. M.V. Fischetti, Z. Ren, P.M. Solomon, M. Yang, K. Rim, Six-band k·p calculation of the hole mobility in silicon inversion layers: dependence on surface orientation, strain, and silicon thickness. J. Appl. Phys. 94, 1079 (2003)

    Article  Google Scholar 

  25. G.E. Pikus, G.L. Bir, Symmetry and Strain-Induced Effects in Semiconductors (Wiley, New York, 1974)

    Google Scholar 

  26. S. Gannavaram, N. Pesovic, M.C. Ozturk, Low temperature (<800 °C) recessed junction selective silicon-germanium sourcedrain technology for sub-70 nm CMOS, in IEEE International Electron Devices Meeting, IEDM Technical Digest (2000), p. 437

  27. P. Packan et al., High performance 32 nm logic technology featuring 2nd generation high-k + metal gate transistor, in Electron Devices Meeting (IEDM) (2009), p. 1

  28. P. Muller, A. Saul, Elastic effects on surface physics. Surf. Sci. Rep. 54, 157–258 (2004)

    Article  Google Scholar 

  29. L. Vescan, K. Grimm, C. Dieker, Facet investigation in selective epitaxial growth of Si and SiGe on (001) Si for optoelectronic devices. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 16, 1549 (1998)

    Article  Google Scholar 

  30. D. Dutartre, A. Talbot, N. Loubet, Facet propagation in Si and SiGe epitaxy or etching. ECS Trans. 3(7), 473 (2006)

    Google Scholar 

  31. T. Aoyama, T. Ikarashi, K. Miyanaga, T. Tatsumi, Facet formation mechanism of silicon selective epitaxial layer by Si ultrahigh vacuum chemical vapor deposition Sf02. J. Cryst. Growth 136, 349–354 (1994)

    Article  Google Scholar 

  32. I.J. Raaijmakers, H. Sprey, A. Storm, T. Bergman, J. Italiano, D. Meyer, Enabling technologies for forming and contacting shallow junctions in Si: HF-vapor cleaning and selective epitaxial growth of Si and SiGe. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 17, 2311 (1999)

    Article  Google Scholar 

  33. K. Kawaguchi, N. Usami, Y. Shiraki, Formation of relaxed SiGe® lms on Si by selective epitaxial growth. Thin Solid Films 369, 126–129 (2000)

    Article  Google Scholar 

  34. M. Ohtsuka, A. Suzuki, Modeling of molecular-beam epitaxy and metalorganic vapor-phase epitaxy on nonplanar surfaces. J. Appl. Phys. 73, 7358 (1993)

    Article  Google Scholar 

  35. Q. Xiang, S. Li, D. Wang, K.L. Wang, J.G. Couillard, Interfacet mass transport and facet evolution in selective epitaxial growth of Si by gas source molecular beam epitaxy. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 14, 2381 (1996)

    Article  Google Scholar 

  36. A. Fischer, H. Richter, Elastic stress relaxation in SiGe epilayers on patterned Si substrates. J. Appl. Phys. 75, 657 (1994)

    Article  Google Scholar 

  37. M. Kolahdouz, L. Maresca, R. Ghandi, A. Khatibi, H.H. Radamson, Kinetic model of SiGe selective epitaxial growth using RPCVD technique. J. Electrochem. Soc. 158, H457 (2011)

    Article  Google Scholar 

  38. S. Bodnar, E. de Berranger, P. Bouillon, M. Mouis, T. Skotnicki, J.L. Regolini, Selective Si and SiGe epitaxial heterostructures grown using an industrial low-pressure chemical vapor deposition module. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 15, 712 (1997)

    Article  Google Scholar 

  39. A.J. Newman, P.S. Krishnaprasad, S. Ponczak, P. Brabant, Modeling and Model Reduction for Control and Optimization of Epitaxial Growth in a Commercial Rapid Thermal Chemical Vapor Deposition Reactor, Technical Report 98-45, Institute for Systems Research, 1998

  40. W.B. De Boer, D. Terpstra, R. Dekker, Loading effects during low-temperature SEG of Si and SiGe. Mater. Res. Soc. Symp. Proc. 533, 315–320 (1998)

    Article  Google Scholar 

  41. L. Vescan, Selective epitaxial growth of SiGe alloys–influence of growth parameters on film properties. Mater. Sci. Eng. B 28, 1–8 (1994)

    Article  Google Scholar 

  42. J. Hallstedt, M. Kolahdouz, R. Ghandi, H.H. Radamson, R. Wise, Pattern dependency in selective epitaxy of B-doped SiGe layers for advanced metal oxide semiconductor field effect transistors. J. Appl. Phys. 103, 054907 (2008)

    Article  Google Scholar 

  43. J. Hallstedt, C. Isheden, M. Ostling, R. Baubinas, J. Matukas, V. Palenskis, H. Radamson, Application of selective epitaxy for formation of ultra shallow SiGe-based junctions. Mater. Sci. Eng. B 114–115, 180–183 (2004)

    Article  Google Scholar 

  44. T. Kamins, Pattern sensitivity of selective Si 1-x Ge x, chemical vapor deposition: pressure dependence. J. Appl. Phys. 74, 5799–5802 (1993)

    Article  Google Scholar 

  45. K.L. Knutson, R.W. Carr, W.H. Liu, S.A. Campbell, A kinetics and transport model of dichiorosilane chemical vapor deposition. J. Cryst. Growth 140, 191–204 (1994)

    Article  Google Scholar 

  46. B. Mehta, M. Tao, A kinetic model for boron and phosphorus doping in silicon epitaxy by CVD. J. Electrochem. Soc. 152, G309–G315 (2005)

    Article  Google Scholar 

  47. M. Hierlemann, A. Kersch, C. Werner, H. Schäfer, A gas-phase and surface kinetics model for silicon epitaxial growth with SiH2Cl2 in an RTCVD reactor. J. Electrochem. Soc. 142, 259 (1995)

    Article  Google Scholar 

  48. J.L. Regolini, D. Bensahel, J. Mercier, E. Scheid, Silicon selective epitaxial growth at reduced pressure and temperature. J. Cryst. Growth 96, 505–512 (1989)

    Article  Google Scholar 

  49. R. Bashir, G.W. Neudeck, Y. Haw, E.P. Kvam, Characterization and modeling of sidewall defects in selective epitaxial growth of silicon. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 13, 928 (1995)

    Article  Google Scholar 

  50. J.R. Holt, E.C. Harley, T.N. Adam, S.-J. Jeng, K. Tabakman, R. Pal, H.M. Nayfeh, L.R. Black, J.J. Kempisty, M.W. Stoker, A. Dube, D.J. Schepis, SiGe selective epitaxy: morphology and thickness control for high performance CMOS technology. ECS Trans. 16, 475–483 (2008)

    Google Scholar 

  51. J.M. Hartmann, M. Py, J.P. Barnes, B. Prévitali, P. Batude, T. Billon, Low temperature boron and phosphorous doped SiGe for recessed and raised sources and drains. J. Cryst. Growth 327, 68–77 (2011)

    Article  Google Scholar 

  52. M. Tao, Growth kinetics and reaction mechanism of silicon chemical vapour deposition from silane. Thin Solid Films 223, 201–211 (1993)

    Article  Google Scholar 

  53. M.K. Sanganeria, K.E. Violette, M.C. Oztiirk, G. Harris, D.M. Maher, Boron incorporation in epitaxial silicon using Si2H6 and B2H6 in an ultrahigh vacuum rapid thermal chemical vapor deposition reactor. J. Electrochem. Soc. 142, 285 (1995)

    Article  Google Scholar 

  54. H. Kühne, On a substituting, sticking and trapping model of CVD Si1−xGex layer growth. J. Cryst. Growth 125, 291–300 (1992)

    Article  Google Scholar 

  55. Y. Bogumilowicz, J.M. Hartmann, R. Truche, Y. Campidelli, G. Rolland, T. Billon, Chemical vapour etching of Si, SiGe and Ge with HCl; applications to the formation of thin relaxed SiGe buffers and to the revelation of threading dislocations. Semicond. Sci. Technol. 20, 127–134 (2005)

    Article  Google Scholar 

  56. S. Ito, T. Nakamura, S. Nishikawa, Reduced-pressure chemical vapor deposition. Appl. Phys. Lett. 69, 1098–1100 (1996)

    Article  Google Scholar 

  57. M. Hierlemann, C. Werner, A. Spitzer, Equipment simulation of SiGe heteroepitaxy: model validation by ab initio calculations of surface diffusion processes. J. Vac. Sci. B 15, 935 (1997)

    Article  Google Scholar 

  58. K.Y. Suh, H.H. Lee, Ge composition in Si1−xGex films grown from SiH2Cl2/GeH4 precursors. J. Appl. Phys. 88, 4044–4047 (2000)

    Article  Google Scholar 

  59. G.L. Wang, M. Moeen, A. Abedin, M. Kolahdouz, J. Luo, C.L. Qin et al., Optimization of SiGe selective epitaxy for source/drain engineering in 22 nm node complementary metal–oxide semiconductor (CMOS). J. Appl. Phys. 114(12), 123511 (2013)

    Article  Google Scholar 

  60. H.-C. Tseng, C.Y. Chang, F.M. Pan, J.R. Chen, L.J. Chen, Effects of isolation materials on facet formation for silicon selective epitaxial growth. Appl. Phys. Lett. 71, 2328 (1997)

    Article  Google Scholar 

  61. T. Sato, I. Tamai, H. Hasegawa, Growth kinetics and modeling of selective molecular beam epitaxial growth of GaAs ridge quantum wires on pre-patterned nonplanar substrates. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 22, 2266 (2004)

    Article  Google Scholar 

  62. S.-H. Lim, S. Song, G.-D. Lee, E. Yoon, J.-H. Lee, Facet evolution in selective epitaxial growth of Si by cold-wall ultrahigh vacuum chemical vapor deposition. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 22, 682 (2004)

    Article  Google Scholar 

  63. Y.-W. Wang et al., Scatterometry measurement for SiGe AEI sigma-shaped gate structures of 28 nm technology, in Advanced Semiconductor Manufacturing Conference (ASMC) (2012), p. 110

  64. S. Mujumdar, K. Maitra, S. Datta, Layout-dependent strain optimization for p-channel trigate transistors. IEEE Trans. Electron Devices 59, 72–78 (2012)

    Article  Google Scholar 

  65. S. Takagi, T. Tezuka, T. Irisawa, S. Nakaharai, T. Numata, K. Usuda, N. Sugiyama, M. Shichijo, R. Nakane, S. Sugahara, Device structures and carrier transport properties of advanced CMOS using high mobility channels. Solid State Electron. 51, 526 (2007)

    Article  Google Scholar 

  66. N. Tamura, Y. Shimamune, 45 nm CMOS technology with low temperature selective epitaxy of SiGe. Surf. Sci. 254, 6067 (2008)

    Article  Google Scholar 

  67. N. Yasutake, A. Azuma, T. Ishida, N. Kusunoki, S. Mori, H. Itokawa, I. Mizushima, S. Okamoto, T. Morooka, N. Aoki, S. Kawanaka, S. Inaba, Y. Toyoshima. Record-high performance 32 nm node pMOSFET with advanced two-step recessed SiGe-S/D and stress liner technology, in Symposium on VLSI Technical Digest (2007), pp. 48–49

  68. G. Wang, A. Abedin, M. Moeen, M. Kolahdouz, J. Luo, Y. Guo, T. Chen, H. Yin, H. Zhu, J. Li, C. Zhao, H.H. Radamson, Integration of highly-strained SiGe materials in 14 nm and beyond nodes FinFET technology. Solid State Electron. 103, 222–228 (2015)

    Article  Google Scholar 

  69. H. Radamson, M. Kolahdouz, R. Ghandi, J. Hallstedt, Selective epitaxial growth of B-doped SiGe and HCl etch of Si for the formation of SiGe: B recessed source and drain (pMOS transistors). Thin Solid Films 517, 84–86 (2008)

    Article  Google Scholar 

  70. M. Kolahdouz, P.T.Z. Adibi, A.A. Farniya, S. Shayestehaminzadeh, E. Trybom, L. Di Benedetto, H. Radamson, Selective growth of B- and C-doped SiGe layers in unprocessed and recessed si openings for p-type metal-oxide-semiconductor field-effect transistors application. J. Electrochem. Soc. 157, H633 (2010)

    Article  Google Scholar 

Download references

Conflict of interest

The authors declare that they have no conflict of interest.

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Henry H. Radamson or Mohammadreza Kolahdouz.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Radamson, H.H., Kolahdouz, M. Selective epitaxy growth of Si1−xGex layers for MOSFETs and FinFETs. J Mater Sci: Mater Electron 26, 4584–4603 (2015). https://doi.org/10.1007/s10854-015-3123-z

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10854-015-3123-z

Keywords

Navigation