Skip to main content

Machine Learning Perspective in VLSI Computer-Aided Design at Different Abstraction Levels

  • Conference paper
  • First Online:
Mobile Computing and Sustainable Informatics

Part of the book series: Lecture Notes on Data Engineering and Communications Technologies ((LNDECT,volume 68))

Abstract

In the past few decades, machine learning, a subset of artificial intelligence (AI), has emerged as a disruptive technology which is now being extensively used and has stretched across various domains. Among the numerous applications, one of the most significant advancements due to Machine Learning is in the field of Very Large Scale Integrated Circuits (VLSI). Further growth and improvements in this field are highly anticipated in the near future. The fabrication of thousands of transistors in VLSI is time consuming and complex which demanded the automation of design process, and hence, computer-aided design (CAD) tools and technologies have started to evolve. The incorporation of machine learning in VLSI involves the application of machine learning algorithms at different abstraction levels of VLSI CAD. In this paper, we summarize several machine learning algorithms that have been developed and are being widely used. We also have briefly discussed about how machine learning methods have transuded the layers of VLSI design process from register transfer level (RTL) assertion generation to static timing analysis (STA) with smart and efficient models and methodologies, further enhancing the quality of chip design with power, performance and area improvements and complexity and turnaround time reduction.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 219.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 279.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. M. Xue, C. Zhu, A study and application on machine learning of artificial intellligence, in 2009 International Joint Conference on Artificial Intelligence, Hainan Island (2009), pp. 272–274. https://doi.org/10.1109/JCAI.2009.55

  2. A. Samuel, Some studies in machine learning using the game of checkers. IBM J. Res. Dev. 3(3), 210–229 (1959). CiteSeerX 10.1.1.368.2254

    Google Scholar 

  3. M. Bansal, Priya, Application layer protocols for Internet of Healthcare Things (IoHT), in 2020 Fourth International Conference on Inventive Systems and Control (ICISC), Coimbatore (2020), pp. 369–376. https://doi.org/10.1109/ICISC47916.2020.9171092

  4. M. Bansal, Priya, Performance comparison of MQTT and CoAP protocols in different simulation environments, in Inventive Communication and Computational Technologies, ed. by G. Ranganathan, J. Chen, A. Rocha. Lecture Notes in Networks and Systems, vol. 145 (Springer, Singapore), pp. 549–560. https://doi.org/10.1007/978-981-15-7345-3_47

  5. A. Nayak, K. Dutta, Impacts of machine learning and artificial intelligence on mankind, in 2017 International Conference on Intelligent Computing and Control (I2C2), Coimbatore (2017)

    Google Scholar 

  6. S. Ray, A quick review of machine learning algorithms, in 2019 International Conference on Machine Learning, Big Data, Cloud and Parallel Computing (COMITCon), Faridabad (2019), pp. 35–39

    Google Scholar 

  7. P. Sethi, V. Bhandari, B. Kohli, SMS spam detection and comparison of various machine learning algorithms, in 2017 International Conference on Computing and Communication Technologies for Smart Nation (IC3TSN), Gurgaon (2017), pp. 28–31

    Google Scholar 

  8. I. (Abe) M. Elfadel, D.S. Boning, X. Li (eds.), Machine Learning in VLSI Computer-Aided Design (Springer International Publishing, Springer Nature Switzerland AG, 2019)

    Google Scholar 

  9. R. Choudhary, H.K. Gianey, Comprehensive review on supervised machine learning algorithms, in 2017 International Conference on Machine Learning and Data Science (MLDS), Noida (2017), pp. 37–43

    Google Scholar 

  10. A.-N. Du, B.-X. Fang, Comparison of machine learning algorithms in Chinese web filtering, in Proceedings of 2004 International Conference on Machine Learning and Cybernetics (IEEE Cat. No.04EX826), vol. 4, Shanghai (2004), pp. 2526–2531

    Google Scholar 

  11. K.H. Yeap, H. Nisar, Introductory Chapter: VLSI. https://doi.org/10.5772/intechopen.69188

  12. H.D. Foster, A.C. Krolnik, D.J. Lacey, Assertion-Based Design, 2nd edn. (Springer Publishing)

    Google Scholar 

  13. S. Hertz, D. Sheridan, S. Vasudevan, Mining hardware assertions with guidance from staticanalysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32, 952–965 (2013)

    Google Scholar 

  14. J. Han, M. Kamber, Data Mining: Concepts and Techniques (Morgan Kaufmann Publishers Inc., San Francisco, 2000).

    Google Scholar 

  15. C. Visweswariah, K. Ravindran, K. Kalafala, S.G. Walker, S. Narayan, First-order incremental block-based statistical timing analysis, in DAC, San Diego, CA, June 2004, pp. 331–336

    Google Scholar 

  16. U. Gandhi, I. Bustany, W. Swartz, L. Behjat, A reinforcement learning-based framework for solving physical design routing problem in the absence of large test sets, in 2019 ACM/IEEE 1st Workshop on Machine Learning for CAD (MLCAD), Canmore, AB (2019), pp. 1–6

    Google Scholar 

  17. S. Mantik, G. Posser, W.-K. Chow, Y. Ding, W.-H. Liu, “ISPD 2018 initial detailed routing contest and benchmarks, in Proceedings of the 2018 International Symposium on Physical Design, ISPD’18 (ACM, New York, NY, USA, 2018), pp. 140–143

    Google Scholar 

  18. D. Silver, J. Schrittwieser, K. Simonyan, I. Antonoglou, A. Huang, A. Guez, T. Hubert, L. Baker, M. Lai, A. Bolton, Y. Chen, T. Lillicrap, F. Hui, L. Sifre, G. Van Den Driessche, T. Graepel, D. Hassabis, Mastering the game of Go without human knowledge. Nature 550(7676), 354–359 (2017)

    Article  Google Scholar 

  19. T.C. Chen, P.Y. Lee, T.C. Chen, Automatic floorplanning for AI SoCs, in 2020 International Symposium on VLSI Design, Automation and Test (VLSI-DAT), Hsinchu (2020), pp. 1–2

    Google Scholar 

  20. S. Bian, M. Hiromoto, M. Shintani, T. Sato, LSTA: learning-based static timing analysis for high-dimensional correlated on-chip variations, in 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC), Austin, TX (2017), pp. 1–6

    Google Scholar 

  21. A.B. Kahng, M. Luo, S. Nath, SI for free: machine learning of interconnect coupling delay and transition effects, in Proceedings of SLIP (2015), pp. 1–8

    Google Scholar 

  22. K. Hasegawa, M. Oya, M. Yanagisawa, N. Togawa, Hardware trojans classification for gate-level netlists based on machine learning, in 2016 IEEE 22nd International Symposium on On-Line Testing and Robust System Design (IOLTS), Sant Feliu de Guixols (2016), pp. 203–206

    Google Scholar 

  23. M. Bansal, M. Nanda, M.N. Husain, Security and privacy aspects for Internet of Things (IoT), in 2021 6th International Conference on Inventive Computation Technologies (ICICT), Coimbatore, India, pp. 199–204 (2021). https://doi.org/10.1109/ICICT50816.2021.9358665

  24. M. Bansal, S. Garg, Internet of Things (IoT) based assistive devices, in 2021 6th International Conference on Inventive Computation Technologies (ICICT), Coimbatore, India, pp. 1006–1009 (2021). https://doi.org/10.1109/ICICT50816.2021.9358662

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2022 The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Bansal, M., Priya (2022). Machine Learning Perspective in VLSI Computer-Aided Design at Different Abstraction Levels. In: Shakya, S., Bestak, R., Palanisamy, R., Kamel, K.A. (eds) Mobile Computing and Sustainable Informatics. Lecture Notes on Data Engineering and Communications Technologies, vol 68. Springer, Singapore. https://doi.org/10.1007/978-981-16-1866-6_6

Download citation

Publish with us

Policies and ethics