Skip to main content

Nanoporous Dielectric Materials for Advanced Micro- and Nanoelectronics

  • Conference paper
  • First Online:
Nanodevices and Nanomaterials for Ecological Security

Abstract

Materials with low dielectric constant are required as interlayer dielectrics for on-chip interconnect of ULSI (ultra large scale integration) devices to provide high speed, low dynamic power dissipation and low cross-talk noise. Selecting chemical compounds with low polarizability and introducing porosity achieve reduction of dielectric constant. However, integration of such materials into micro- and nanoelectronic circuits poses a number of challenges, as the materials must meet strict requirements in terms of properties and reliability. This paper is an overview of porous low dielectric constant (low-k) dielectrics developed for micro- and nanoelectronic applications. Classification of low-k films including different approaches used for their deposition, characterization of porosity, mechanical and chemical properties, new characterization methods is also discussed.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Maex K, Baklanov MR, Shamiryan D, Iacopi F, Brongersma S, Yanovitskaya ZSh (2003) Low dielectric constant materials for microelectronics. J Appl Phys 93(11):8793–8841

    Article  ADS  Google Scholar 

  2. Hoffman RJOM, Verheijden GJAM, Michelon J, Iacopi F, Travaly Y, Baklanov MR, Tokei Zs, Beyer G (2005) Challenges in the implementation of low-k dielectrics in the back-end of line. Microelectron Eng 80:337–344

    Article  Google Scholar 

  3. Grill A (2009) Porous pSiCOH ultralow-k dielectrics for chip interconnects prepared by PECVD. Annu Rev Mater Sci 39:49

    Article  ADS  Google Scholar 

  4. Kemeling N, Matsushita K, Tsuji N, Kagami K, Kato M, Kaneko A, Sprey H, De Roeast D, Kobayashi N (2007) A robust k 2.3 SiCOH low-k film formed by porogen removal with UV-cure. Microelectron Eng 84(11):2575

    Article  Google Scholar 

  5. Prager L, Marsik P, Wennrich L, Baklanov MR, Naumov S, Pistol L, Schneider D, Gerlach JW, Verdonck P, Buchmeiser MR (2008) Effect of pressure on efficiency of UV curing of CVD-derived low-k material at different wavelengths. Microelectron Eng 85:2094–2097

    Article  Google Scholar 

  6. Tada M, Yamamoto H, Ito F, Takeuchi T, Furutake N, Hayashi Y (2007) Chemical structure effects of ring-type siloxane precursors on properties of plasma-polymerized porous SiOCH films. J Electrochem Soc 154(7):D354

    Article  Google Scholar 

  7. Eslava S, Eymery G, Marsik P, Iacopi F, Kirschhock CEA, Maex K, Martens JA, Baklanov MR (2008) Optical property changes in low-k films upon ultraviolet- assisted curing. J Electrochem Soc 155(5):G115–G120

    Article  Google Scholar 

  8. Marsik P, Verdonck P, De Roest D, Baklanov MR (2010) Porogen residues detection in optical properties of low-k dielectrics cured by ultraviolet radiation. Thin Solid Films 518(15):4266–4272

    Article  ADS  Google Scholar 

  9. Afanas’ev VV, Keunen K, Stesmans A, Jivanescu M, Tőkei Zs, Baklanov MR, Beyer GP (2011) Electron spin resonance study of defects in low-κ oxide insulators (κ = 2.5–2.0). Microelectron Eng 88(7):1503–1506

    Article  Google Scholar 

  10. Urbanowicz A, Vanstreels K, Verdonck P, Shamiryan D, De Gendt S, Baklanov MR (2010) Improving mechanical robustness of ultra-low-k SiOCH PECVD glasses by controlled porogen decomposition prior to UV-hardening. J Appl Phys 107:104122

    Article  ADS  Google Scholar 

  11. Baklanov MR, Shamiryan D (2011) Nondestructive evaluation of critical properties of thin porous films. In: Silverstein MS, Cameron NR, Hillmyer MA (eds) Porous polymers. John Wiley & Sons, Hoboken, New Jersey, pp 205–246

    Chapter  Google Scholar 

  12. Ciofi I, Baklanov MR, Tokei Zs, Beyer GP (2010) Capacitance measurements and k-value extractions of low-k films. Microelectron Eng 87:2391–2406

    Article  Google Scholar 

  13. Baklanov MR, Zhao L, Van Besien E, Pantouvaki M (2011) Effect of porogen residue on electrical characteristics of ultra low-k materials. Microelectron Eng 88(5):990–993

    Article  Google Scholar 

  14. Ciofi I, Van Besien E et al (2010) IMEC’s PTW reports, Leuven

    Google Scholar 

  15. Baklanov MR, Mogilnikov KP, Polovinkin VG, Dultsev FN (2000) Determination of pore size distribution in thin films by ellipsometric porosimetry. J Vac Sci Technol B 18:1385

    Article  Google Scholar 

  16. Vanstreels K, Urbanowicz AM (2010) Nanoindentation study of thin plasma enhanced chemical vapor deposition SiCOH low-k films modified in He/H2 downstream plasma. J Vac Sci Technol B 28:173

    Article  Google Scholar 

  17. Pantouvaki M, Huffman C, Zhao L, Heylen N, Ono Y, Nakajima M, Nakatani K, Beyer GP, Baklanov MR (2011) Advanced organic polymer for the aggressive scaling of low-k materials. Jpn J Appl Phys 50:04DB01

    Article  Google Scholar 

  18. Vanstreels K, Pantouvaki M, Ferchichi A, Verdonck P, Conard T, Ono Y, Matsutani M, Nakatani K, Baklanov MR (2011) Effect of bake/cure temperature of an advanced organic ultra low-k material on the interface adhesion strength to metal barriers. J Appl Phys 109:074301

    Article  ADS  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to M. R. Baklanov .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer Science+Business Media Dordrecht

About this paper

Cite this paper

Baklanov, M.R. (2012). Nanoporous Dielectric Materials for Advanced Micro- and Nanoelectronics. In: Shunin, Y., Kiv, A. (eds) Nanodevices and Nanomaterials for Ecological Security. NATO Science for Peace and Security Series B: Physics and Biophysics. Springer, Dordrecht. https://doi.org/10.1007/978-94-007-4119-5_1

Download citation

Publish with us

Policies and ethics