Skip to main content

Evolutionary Algorithms for the Physical Design of VLSI Circuits

  • Chapter
Advances in Evolutionary Computing

Part of the book series: Natural Computing Series ((NCS))

Abstract

Electronic design automation (EDA) is concerned with the design and production of VLSI systems. One of the important steps in creating a VLSI circuit is physical design. The input to the physical design step is a logical representation of the system under design. The output of this step is the layout of a physical package that optimally or nearly optimally realizes the logical representation. Physical design problems are generally combinatorial in nature and have very large problem sizes, thus necessitating the use of heuristics such as evolutionary algorithms. We review evolutionary algorithms for physical design and observe and analyze the common traits of the superior contributions. We also discuss important requirements for evolutionary-based approaches for even greater acceptance within the VLSI community.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Abramovici, M., Breuer, M. A., Friedman, A. D. (1994) Digital Systems Testing and Testable Design, IEEE Press, Piscataway, NJ

    Book  Google Scholar 

  2. Alpert, C. J., Khang, A. B. (1995) Recent Directions in Netlist Partitioning: A Survey, Integration: The VLSI Journal, 19, 1–81

    Article  MATH  Google Scholar 

  3. Bäck, T. (1996) Evolutionary Algorithms in Theory and Practice, Oxford University Press, New York

    MATH  Google Scholar 

  4. Becker, B., Drechsler, R. (1994) OFDD Based Minimization of Fixed Polarity Reed-Muller Expressions Using Hybrid Genetic Algorithms, IEEE International Conference on Computer Design, 106–110

    Google Scholar 

  5. Bentley, P. (1996) Evolutionary Design by Computers, Morgan Kaufmann Publishers, San Francisco, CA

    Google Scholar 

  6. Betz, V., Rose, J. (1997) VPR: A New Packing, Placement and Routing Tool for FPGA Research, International Workshop on Field Programmable Logic and Applications, 213–222

    Google Scholar 

  7. Bui, T. N., Moon, B. R. (1994) A Fast and Stable Hybrid Genetic Algorithm for the Ratio-Cut Partitioning Problem on Hypergraphs, Proc. of the ACM-IEEE Design Automation Conference, 664–669

    Google Scholar 

  8. Bushneil, M. L., Agrawal, V. D. (2000) Essentials of Electronic Testing for Digital, Memory, and Mixed-Signal VLSI Circuits, Kluwer Academic Publishers, Boston, MA

    Google Scholar 

  9. Chan, H., Mazumder, P., Shahookar, K. (1991) Macro-Cell and Module Placement by Genetic Adaptive Search with Bitmap-Represented Chromosome, Integration, The VLSI Journal, 12, 49–77

    Article  Google Scholar 

  10. Cohoon, J. P., Paris, W. D. (1987) Genetic Placement, IEEE Trans, on Computer-Aided Design, 6, 956–964

    Article  Google Scholar 

  11. Cohoon, J. P., Hegde, S. E., Martin, W. N., Richards, D. S. (1991) Distributed Genetic Algorithms for the Floorplan Design Problem, Computer-Aided Design of Integrated Circuits and Systems, 10, 483–492

    Article  Google Scholar 

  12. Cohoon, J. P., Martin, W. N., Richards, D. S. (1991) Genetic Algorithms and Punctuated Equilibria in VLSI Parallel Problem Solving from Nature, H. P. Schwefel and R. Männer, edsLecture Notes in Computer Science, 496 (Berlin: Springer Verlag), 134–144

    Google Scholar 

  13. Cong, J., Ding, Y. (1996) Combinational logic synthesis for LUT Based Field Programmable Gate Arrays, ACM Transactions on the Design of Electronic Systems, 1, 145–204

    Article  Google Scholar 

  14. Corno, F., Prinetto, P., Rebaudengo, M., Reorda, M. S. (1996) GATTO: a Genetic Algorithm for Automatic Test Pattern Generation for Large Synchronous Sequential Circuits, IEEE Transactions on Computer-Aided Design, 15, 943–951

    Article  Google Scholar 

  15. Davis, L. (1985) Applying Adaptive Algorithms to Epistatic Domains, Proc. Int. Joint Conference on Artificial Intelligence

    Google Scholar 

  16. Drechsler, R. (1998) Evolutionary Algorithms for VLSI CAD, Kluwer Academic Publishers, Boston, MA

    Book  Google Scholar 

  17. EDA Benchmarks 1997 http://www.cbl.ncsu.edu/ or email: bench-mar ks@cbl.ncsu.edu.

  18. Eldredge, N., Gould, S. L. (1972) Punctuated equilibria: An Alternative to Phyletic Gradulism, Models of Paleobiology, Freeman, Cooper and Co., San Francisco, CA, 82–115

    Google Scholar 

  19. Esbensen, H. (1992) A Genetic Algorithm for Macro Cell Placement, Proc. of the European Design Automation Conference, 52–57

    Google Scholar 

  20. Esbensen, H. (1994) A Macro-Cell Global Router Based on Two Genetic Algorithms, Proc. of the European Design Automation Conference, 428–433

    Google Scholar 

  21. Esbensen, H., Mazumder, P. (1992) SAGA: A Unification of the Genetic Algorithm with Simulated Annealing and its Application to Macro-Cell Placement, Proc. of the 7th International Conference on VLSI Design, 211–214

    Google Scholar 

  22. Esbensen, H., Mazumder, P. (1994) Genetic Algorithm for Steiner Problems in a Graph, European Design and Test Conference, Paris, 402–406

    Google Scholar 

  23. Esbensen, H., Mazumder, P. (1999) Macro Cell Routing, Genetic Algorithms for VLSI Design, Layout and Test Automation, P. Mazumder and E. M. Rudnick, eds., Prentice-Hall, Upper Saddle River, NJ, 70–106

    Google Scholar 

  24. Fogel, D. (1995) Evolutionary Computation: Toward a New Philosophy of Machine Intelligence, IEEE Press, New York

    Google Scholar 

  25. Fogel, L. J., Owens, A. J., Walsh, M. J. (1966) Artificial Intelligence through Simulated Evolutions, Clearinghouse for Federal Scientific and Technical Information, Springfield, VA

    Google Scholar 

  26. Fonseca, M., Fleming, P. J. (1995) An Overview of Evolutionary Algorithms in Multiobjective Optimization, Evolutionary Computation, 3, 1–16

    Article  Google Scholar 

  27. Fourman, M. P. (1985) Compaction of Symbolic Layout using Genetic Algorithms, Proc. of the First International Conference on Genetic Algorithms, 141–153

    Google Scholar 

  28. Grefenstette, J., Schraudolph, N. (1987) A User’s Guide to GENESIS 1.2 UCSC, CSE Dept., University of California, San Diego

    Google Scholar 

  29. Geraci, M., Orlando, P., Sorbello, F., Vasallo, G. (1991) A Genetic Algorithm for the Routing of VLSI Circuits, Euro Asic ’91, Parigi, 27-31 Maggio, 218–223

    Google Scholar 

  30. Göckel, N., Pudelko, G., Drechsler, R., Becker, B. (1997) A Hybrid Genetic Algorithm for the Channel Routing Problem, Proc. of the International Symposium, on Circuits and Systems, 675–678

    Google Scholar 

  31. Göckel, N., Pudelko, G., Drechsler, R., Becker, B. (1997) A Multi-Layer Detailed Routing Approach Based on Evolutionary Algorithms, Proc. of IEEE International Conference on Evolutionary Computation, 557–562

    Google Scholar 

  32. Goldberg, D. E. (1989) Genetic Algorithms: Search, Optimization and Machine Learning, Addison-Wesley, New York

    MATH  Google Scholar 

  33. Goldberg, D. E., Lingle, R. (1985) Alleles, Loci and the Traveling Salesman Problem, Proc. International Conference on Genetic Algorithms

    Google Scholar 

  34. Goodman, E., Tetelbaum, A. Y., Kureichik, V. (1994) A Genetic Algorithm Approach to Compaction, Bin Packing, and Nesting Problems, GARAGe Technical Report

    Google Scholar 

  35. Holland, J. H. (1975) Adaptation in Natural and Artificial Systems, University of Michigan Press, Ann Arbor, MI

    Google Scholar 

  36. Hsieh, T. M., Leong, H. W., Liu, C. L. (1988) Two-Dimensional Layout Compaction by Simulated Annealing, Proc. IEEE International Symposium on Circuits and Systems, Espoo, Finland, 3, 2439–2443

    Google Scholar 

  37. Hulin, M. (1991) Analysis of Schema Distributions, Proc. of the Fourth International Conference on Genetic Algorithms, 204–209

    Google Scholar 

  38. Hulin, M. (1991) Circuit Partitioning with Genetic Algorithms Using a Coding Scheme to Preserve the Structure of a Circuit, Parallel Problem Solving from Nature, H. P. Schwefel and R. Männer, eds., Lecture Notes in Computer Science, 496 (Berlin: Springer Verlag), 75–79

    Chapter  Google Scholar 

  39. Hwang, F. K., Winter, P., Richards, D. S. (1992) The Steiner Tree Problem, Elsevier Science, Amsterdam.

    MATH  Google Scholar 

  40. IEEE International Testing Conference, Atlantic City, NJ

    Google Scholar 

  41. Julstrom, D. A. (1993) A Genetic Algorithm for the Rectilinear Steiner Problem, International Conference on Genetic Algorithms and their Applications, 231–236

    Google Scholar 

  42. Kapsalis, A., Rayward-Smith, V. J., Smith, G. D. (1993) Solving the Graphical Steiner Tree Problem Using Genetic Algorithms, Journal of the Operational Research Society, 44, 397–406

    MATH  Google Scholar 

  43. Karro, J., Cohoon, J. (1999) A Spiffy Tool for the Simultaneous Placement and Global Routing of Three-Dimensional Field Programmable Gate Arrays, Ninth Great Lakes Symposium on VLSI, Ann Arbor, MI, 226–227

    Google Scholar 

  44. Kirkpatrick, S., Gelatt, C. D., Vecci, M. P. (1983) Optimization by Simulated Annealing, Science, 220, 45–54

    Article  Google Scholar 

  45. Kling, R. M., Banerjee, P. (1989) ESP: Placement by Simulated Evolution, IEEE Trans. on Computer-Aided Design 8, 245–256

    Article  Google Scholar 

  46. Kling, R. M., Banerjee, P. (1990) Optimization by Simulated Evolution with Applications to Standard Cell Placement, Proc. of the 27th ACM-IEEE Design Automation Conference, 20–25

    Google Scholar 

  47. Lienig, J. (1996) Evolutionary Algorithms Applied to VLSI Physical Design, (in German), Fortschrittberichte VDI, Reihe, 20, VDI-Verlag, Duesseldorf

    Google Scholar 

  48. Lienig, J. (1997) A Parallel Genetic Algorithm for Performance-Driven VLSI Routing, IEEE Trans. on Evolutionary Computation, 1, 29–39

    Article  Google Scholar 

  49. Lienig, J., Thulasiraman, K. (1994) A Genetic Algorithm for Channel Routing in VLSI Circuits, Evolutionary Computation, 1, 293–311

    Article  Google Scholar 

  50. Lienig, J., Thulasiraman, K. (1996) GASBOR: A Genetic Algorithm for Switchbox Routing in Integrated Circuits, Journal of Circuits, Systems, and Computers, 6, 359–373

    Article  Google Scholar 

  51. Lin, Y.-L., Hsu, Y.-C., Tsai, F.-S. (1989) SILK: A Simulated Evolution Router, IEEE Trans. on Computer-Aided Design, 8, 1108–1114

    Article  Google Scholar 

  52. Mazumder, P., Rudnick, E. (1999) Genetic Algorithms for VLSI Design, Layout & Test Automation, Prentice Hall, Upper Saddle River, NJ

    Google Scholar 

  53. Mazumder, P., Shahookar, K. (1999) Partitioning in Genetic Algorithms for VLSI Design, Layout and Test Automation, P. Mazumder and E. M. Rudnick, eds., Prentice Hall, Upper Saddle River, NJ, 38–68

    Google Scholar 

  54. Mitchell, M. (1996) An Introduction to Genetic Algorithms, The MIT Press, Cambridge, MA

    Google Scholar 

  55. Mohan, S., Mazumder, P. (1993) Wolverines: Standard Cell Placement on a Network of Workstations, IEEE Trans. on Computer-Aided Design, 12, 1312–1326

    Article  Google Scholar 

  56. Ohmori, K., Kasai, T. (1997) Logic Synthesis using a Genetic Algorithm, Internal Symposium on IC Technologies, Systems, and Applications, 200–203

    Google Scholar 

  57. Oliver, I. M., Smith, D. J., Holland, J. R. C. (1985) A Study of Permutation Crossover Operators on the Traveling Salesman Problem, Proc. International Conference on Genetic Algorithms, 224–230

    Google Scholar 

  58. Ökmen, C., Keim, M., Krieger, R., Becker, B. (1997) On Optimizing BIST-Architecture by Using OBDD-Based Approaches and Genetic Algorithms, IEEE VLSI Test Symposium, 426–431

    Google Scholar 

  59. Preas, B. T., Lorenzetti, M. J. (1988) Physical Design Automation of VLSI Systems, Benamin/Cumming Publishing, Menlo Park, CA

    Google Scholar 

  60. Rahmani, A. T., Ono, N. (1993) A Genetic Algorithm for Channel Routing Problem, Proc. of the Fifth International Conference on Genetic Algorithms, 494–498

    Google Scholar 

  61. Rao, B. B. R, Patnaik, L. M., Hansdah, R. C. (1994) A Genetic Algorithm for Channel Routing Using Inter-Cluster Mutation, Proc. of the First IEEE International Conference on Evolutionary Computation, 97–103

    Google Scholar 

  62. Rao, B. B. P., Patnaik, L. M., Hansdah, R. C. (1995) An Extended Evolutionary Programming Algorithm for VLSI Channel Routing, Evolutionary Programming IV: Proc. of the 4th Annual Conference on Evolutionary Programming, J. R. McDonnell, R. G. Reynolds, and D. B. Fogel, eds., MIT Press, Cambridge, MA, 521–544

    Google Scholar 

  63. Rebaudengo, M., Reorda, M. S. (1996) GALLO: A Genetic Algorithm for Floorplan Optimization, IEEE Trans. on Computer-Aided Design, 15, 943–951.

    Article  Google Scholar 

  64. Rechenberg, I. (1973) Evolutions strategic: Optimierung technischer Systeme nach Prinzipien der biologischen Evolution, Frommann-Holzberg, Stuttgart-Bad Cannstatt

    Google Scholar 

  65. Rudnick, E. M., Patel, J. H., Greenstein, G. S., Niermann, T. M. (1997) A Genetic Algorithm Framework for Test Generation, IEEE Trans. on Computer-Aided Design of Integrated Systems, 16, 1034–1044

    Article  Google Scholar 

  66. Saab, Y., Rao, V. (1989) An Evolution-Based Approach to Partitioning ASIC Systems, Proc. of the ACM-IEEE Design Automation Conference, 767–770

    Google Scholar 

  67. Saab, D. G., Saab, G. Y., Abraham, J. A. (1992) ORIS: a Test Cultivation Program for Sequential VLSI Circuits, IEEE/ACM International Conference on Computer-Aided Design, 216–219

    Google Scholar 

  68. Sarrafzadeh, M., Wong, C. (1996) An Introduction to VLSI Physical Design, McGraw Hill, New York

    Google Scholar 

  69. Schaffer, J. D. (1984) Some Experiments in Machine Learning Using Vector Evaluated Genetic Algorithms, Unpublished doctoral dissertation, Vanderbilt University, Nashville, TN

    Google Scholar 

  70. Schnecke, V., Vornberger, O. (1996) An Adaptive Parallel Genetic Algorithm for VLSI-Layout Optimization, Parallel Problem Solving from Nature, H. P. Schwefel and R. Männer, eds., Lecture Notes in Computer Science, 1141 (Berlin: Springer Verlag), 859–868

    Google Scholar 

  71. Sechen, C. (1988) VLSI Placement and Global Routing Using Simulated Annealing, Kluwer Academic Publishers, Boston, MA

    Book  Google Scholar 

  72. Shahookar, K., Khamisani, W., Mazumder, P., Reddy, S. M. (1993) Genetic Beam Search for Gate Matrix Layout. Proc. of the 6th International Conference on VLSI Design, 208–213

    Google Scholar 

  73. Shahookar, K., Mazumder, P. (1990) GASP — A Genetic Algorithm for Standard Cell Placement, Proc. of the European Design Automation Conference, 660–664

    Google Scholar 

  74. Shahookar, K., Mazumder, P. (1990) A Genetic Approach to Standard Cell Placement using Meta-Genetic Parameter Optimization IEEE Trans. on Computer-Aided Design, 9, 500–511

    Article  Google Scholar 

  75. Sherwani, N. (1999) Algorithms for VLSI Physical Design Automation, Third Edition, Kluwer Academic Publishers, Boston, MA

    Google Scholar 

  76. Srinivas, M., Patnaik, L. M. (1993) A Simulation-Based Test Generation Scheme Using Genetic Algorithms, International Conference on VLSI Design, 132–135

    Google Scholar 

  77. Thomson, P., Miller, J. F. (1997) Comparison of AND-XOR Logic Synthesis Using a Genetic Algorithm Against MISII for Implementation on FPGAs, IEE and IEEE International Conference on GA Applications in Engineering

    Google Scholar 

  78. Varanelli, J. M., Cohoon, J. P. (1995) Population-Oriented Simulated Annealing: A Genetic/Thermodynamic Hybrid Approach to Optimization, Proc. of the Sixth International Conference on Genetic Algorithms, 174–181

    Google Scholar 

  79. Vemuri, R., Vemuri, R. (1991) Genetic Synthesis: Performance-Driven Logic Synthesis Using Genetic Evolution, IEEE Great Lakes Symposium on VLSI Systems, Kalamazoo, MI, 312–317

    Google Scholar 

  80. Villa, T., Kam, T., Brayton, R. K., Sangiovanni-Vincentelli, A. (1997) Synthesis of Finite State Machines: Logic Optimization, Kluwer Academic Publishers, Boston, MA

    Book  MATH  Google Scholar 

  81. Yu, M. L. (1989) A Study of the Applicability of Hopfield Decision Neural Nets to VLSI CAD, Proc. of the 26th ACM-IEEE Design Automation Conference, 412–417

    Google Scholar 

  82. Zhang, C. X., Mlynski, D. A. (1990) VLSI Placement With a Neural Network Model, Proc. of the International Symposium on Circuits and Systems, 475–478

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2003 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Cohoon, J., Kairo, J., Lienig, J. (2003). Evolutionary Algorithms for the Physical Design of VLSI Circuits. In: Ghosh, A., Tsutsui, S. (eds) Advances in Evolutionary Computing. Natural Computing Series. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-18965-4_27

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-18965-4_27

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-62386-8

  • Online ISBN: 978-3-642-18965-4

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics