Skip to main content

Variation-Aware Software Techniques for Cache Leakage Reduction Using Value-Dependence of SRAM Leakage Due to Within-Die Process Variation

  • Conference paper
High Performance Embedded Architectures and Compilers (HiPEAC 2008)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 4917))

Abstract

We observe that the same SRAM cell leaks differently, under within-die process variations, when storing 0 and 1; this difference can be up to 3 orders of magnitude (averaging 57%) at 60mv variation of threshold voltage (Vth). Thus, leakage can be reduced if most often the values with less leakage are stored in the cache SRAM cells. We show applicability of this proposal by presenting three binary-optimization and software-level techniques for reducing instruction cache leakage: we (i) reorder instructions within basic-blocks so as to match up the instructions with the less-leaky state of their corresponding cache cells, (ii) statically apply register-renaming with the same aim, and (iii) at boot time, initialize unused cache-lines to their corresponding less-leaky values. Experimental results show up to 54%, averaging 37%, leakage energy reduction at 60mv variation in Vth, and show that with technology scaling, this saving can reach up to 84% at 100mv Vth variation. Since our techniques are one-off and do not affect instruction cache hit ratio, this reduction is provided with only a negligible penalty, in rare cases, in the data cache.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Moshnyaga, V.G., Inoue, K.: Low-Power Cache Design. In: Piguet, C. (ed.) Low-Power Electronics Design, CRC Press, Boca Raton (2005)

    Google Scholar 

  2. Roy, K., et al.: Leakage Current Mechanisms and Leakage Reduction Techniques in Deep-Submicron CMOS Circuits. In: Proc. IEEE (2003)

    Google Scholar 

  3. Taur, Y., Ning, T.H.: Fundamentals of Modern VLSI Devices. Cambridge University Press, Cambridge (1998)

    Google Scholar 

  4. Kao, J.T., Chandrakasan, A.P.: Dual-Threshold Voltage Techniques for Low-Power Digital Circuits. IEEE J. of Solid State Circuits 35, 1009–1018 (2000)

    Article  Google Scholar 

  5. Fallah, F., Pedram, M.: Circuit and System Level Power Management. In: Pedram, M., Rabaey, J. (eds.) Power Aware Design Methodologies, pp. 373–412. Kluwer, Dordrecht (2002)

    Chapter  Google Scholar 

  6. De, V., Borkar, S.: Low Power and High Performance Design Challenge in Future Technologies. In: Great Lake Symposium on VLSI (2000)

    Google Scholar 

  7. Kuroda, T., Fujita, T., Hatori, F., Sakurai, T.: Variable Threshold-Voltage CMOS Technology. IEICE Trans. on Fund. of Elec., Comm. and Comp. Sci. E83-C (2000)

    Google Scholar 

  8. Powell, M.D., et al.: Gated-Vdd: a Circuit Technique to Reduce Leakage in Cache Memories. In: Int’l Symp. Low Power Electronics and Design (2000)

    Google Scholar 

  9. Kaxiras, S., Hu, Z., Martonosi, M.: Cache Decay: Exploiting Generational Behavior to Reduce Cache Leakage Power. In: Int’l Symp. on Computer Architecture, pp. 240–251 (2001)

    Google Scholar 

  10. Flautner, K., et al.: Drowsy Caches: Simple Techniques for Reducing Leakage Power. In: Int’l Symp. on Computer Architecture (2002)

    Google Scholar 

  11. Meng, K., Joseph, R.: Process Variation Aware Cache Leakage Management. In: Int’l Symp. on Low Power Electronics and Design (2006)

    Google Scholar 

  12. Abdollahi, A., Fallah, F., Pedram, M.: Leakage Current Reduction in CMOS VLSI Circuits by Input Vector Control. IEEE Trans. VLSI 12(2), 140–154 (2004)

    Article  Google Scholar 

  13. Clark, L., De, V.: Techniques for Power and Process Variation Minimization. In: Piguet, C. (ed.) Low-Power Electronics Design, CRC Press, Boca Raton (2005)

    Google Scholar 

  14. M32R Family 32-bit RISC Microcomputers, http://www.renesas.com

  15. CACTI Integrated Cache Access Time, Cycle Time, Area, Leakage, and Dynamic Power Model, HP Labs, http://www.hpl.hp.com/personal/Norman_Jouppi/cacti4.html

  16. Agarwal, A., Paul, B.C., Mahmoodi, H., Datta, A., Roy, K.: A Process-Tolerant Cache Architecture for Improved Yield in Nanoscale Technologies. IEEE Trans. VLSI 13(1) (2005)

    Google Scholar 

  17. Luo, J., Sinha, S., Su, Q., Kawa, J., Chiang, C.: An IC Manufacturing Yield Model Considering Intra-Die Variations. In: Design Automation Conference, pp. 749–754 (2006)

    Google Scholar 

  18. Agarwal, K., Nassif, S.: Statistical Analysis of SRAM Cell Stability. In: Design Automation Conference (2006)

    Google Scholar 

  19. Toyoda, E.: DFM: Device & Circuit Design Challenges. In: Int’l Forum on Semiconductor Technology (2004)

    Google Scholar 

  20. International Technology Roadmap for Semiconductors—Design, Update (2006), http://www.itrs.net/Links/2006Update/2006UpdateFinal.htm

  21. Hill, S.: The ARM 10 Family of Embedded Advanced Microprocessor Cores. In: HOT-Chips (2001)

    Google Scholar 

  22. Suzuki, K., Arai, T., Kouhei, N., Kuroda, I.: V830R/AV: Embedded Multimedia Superscalar RISC Processor. IEEE Micro 18(2), 36–47 (1998)

    Article  Google Scholar 

  23. Hamdioui, S.: Testing Static Random Access Memories: Defects, Fault Models and Test Patterns. Kluwer, Dordrecht (2004)

    MATH  Google Scholar 

  24. Thibeault, C.: On the Comparison of Delta IDDQ and IDDQ Testing. In: VLSI Test Symp., pp. 143–150 (1999)

    Google Scholar 

  25. DSM-8104 Ammeter, http://www.nihonkaikeisoku.co.jp/densi/toadkk_zetuenteikou_dsm8104.htm

Download references

Author information

Authors and Affiliations

Authors

Editor information

Per Stenström Michel Dubois Manolis Katevenis Rajiv Gupta Theo Ungerer

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Goudarzi, M., Ishihara, T., Noori, H. (2008). Variation-Aware Software Techniques for Cache Leakage Reduction Using Value-Dependence of SRAM Leakage Due to Within-Die Process Variation. In: Stenström, P., Dubois, M., Katevenis, M., Gupta, R., Ungerer, T. (eds) High Performance Embedded Architectures and Compilers. HiPEAC 2008. Lecture Notes in Computer Science, vol 4917. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-77560-7_16

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-77560-7_16

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-77559-1

  • Online ISBN: 978-3-540-77560-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics