Skip to main content

Abstract

This chapter investigates in detail the actual low-level migration processes. A solid grounding in the physics of electromigration (EM) and its specific effects on the interconnect will give us the knowledge to establish effective mitigation methods during the design of integrated circuits. We first explain the physical causes of EM (Sect. 2.1) and then present options to quantify the EM process (Sect. 2.2), which enable us to effectively characterize key aspects of the process and its effects. In Sect. 2.3, we introduce EM-influencing factors arising from the specific circuit technology, the environment, and the design. We then investigate detailed EM mechanisms with regard to circuit materials, frequencies, and mechanical stresses (Sect. 2.4). Since EM is closely related to thermal and stress migration that also occur in the conductors of electronic circuits, we examine their interdependencies (Sect. 2.5). Finally, Sect. 2.6 outlines the principles of a migration analysis through simulation. This honors the importance of finite element modeling (using the finite element method, FEM) in electromigration analysis and enables the reader to develop and apply similar modeling and simulation techniques.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 79.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 99.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 129.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    The crystal lattice of metals is built up of ordered metal ions with an “electron fog” in-between, consisting of shared free electrons. The terms metal atoms and metal ions are considered equivalent in this context.

  2. 2.

    The Boltzmann constant, which is named after Ludwig Boltzmann (1844–1906), is a physical constant relating the average kinetic energy of particles with the temperature.

  3. 3.

    Long-range order in a crystal means that atoms are organized in a periodic order across many atoms, such as in a periodic lattice.

  4. 4.

    The heat equation is a differential equation that describes the distribution of heat (or variation in temperature) in a given region over time.

  5. 5.

    A duty factor is the fraction of one period in which a signal or system is active, i.e., it expresses the ratio of the positive pulse duration to the period. The duty factor is commonly scaled to the maximum of one. A duty cycle expresses the same notion; however, it is labeled as a percentage.

  6. 6.

    The skin effect is due to opposing eddy currents induced by the changing magnetic field resulting from the alternating current. This effect leads to a reduction in current from the outside to the inside of a metallic conductor as a function of the frequency and the electrical material constants of the conductor (permeability and conductivity).

  7. 7.

    Entropy is a measure of the “disorder” of a system. Hence, the more “ordered” or “organized” a system is, the lower its entropy. For example, building blocks that have been used to construct a wall are “highly organized” (i.e., they are arranged in a complex structure) and are thus in a low-entropy state. This state is achieved only by the input of energy. If this structure is left unattended, it will decay after a number of years, and the disorganized, high-entropy state will return (i.e., an unorganized heap of blocks).

  8. 8.

    Electron mobility is a measure of how quickly an electron can move through a material such as a metal or semiconductor, when pulled by an electric field.

  9. 9.

    The Korhonen model combines vacancy dynamics with stress development. It assumes that the recombination and generation of vacancies alter the concentration of the available lattice sites, which influences the hydrostatic stress distribution. Specifically, the loss of the available lattice sites increases the hydrostatic stress.

References

  1. E. Arzt, W.D. Nix, A model for the effect of line width and mechanical strength on electromigration failure of interconnects with ‘near-bamboo’ grain structures. J. Mater. Res. 6(4), 731–736 (1991). https://doi.org/10.1557/JMR.1991.0731

    Article  Google Scholar 

  2. M.J. Attardo, R. Rosenberg, Electromigration damage in aluminum film conductors. J. Appl. Phys. 41(6), 2381–2386 (1970). https://doi.org/10.1063/1.1659233

    Article  Google Scholar 

  3. J.R. Black, Electromigration—a brief survey and some recent results. IEEE Trans. Electron Devices 16(4), 338–347 (1969). https://doi.org/10.1109/T-ED.1969.16754

    Article  Google Scholar 

  4. J.R. Black, Electromigration failure modes in aluminum metallization for semiconductor devices. Proc. of the IEEE 57(9), 1587–1594 (1969). https://doi.org/10.1109/PROC.1969.7340

    Article  Google Scholar 

  5. I.A. Blech, Electromigration in thin aluminum films on titanium nitride. J. Appl. Phys. 47(4), 1203–1208 (1976). https://doi.org/10.1063/1.322842

    Article  Google Scholar 

  6. W.G. Breiland, S.R. Lee, D.D. Koleske, Effect of diffraction and film-thickness gradients on wafer-curvature measurements of thin-film stress. J. Appl. Phys. 95(7), 3453–3465 (2004). https://doi.org/10.1063/1.1650882

    Article  Google Scholar 

  7. A.F. Bower, S. Shankar, A finite element model of electromigration induced void nucleation, growth and evolution in interconnects. Modell. Simul. Mat Sci. Eng. 15(8), 923–940 (2007)

    Article  Google Scholar 

  8. H. Chang, Y.-C. Lu, S.-M. Jang, Self-aligned dielectric cap. U.S. Patent App. 11/747,105, 2008

    Google Scholar 

  9. H. Ceric, R. de Orio, S. Selberherr, Integration of atomistic and continuum-level electromigration models, in 18th IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA) (2011), pp. 1–4

    Google Scholar 

  10. H. Ceric, S. Selberherr, Electromigration in submicron interconnect features of integrated circuits. Mater. Sci. Eng.: R: Rep. 71(5–6), 53–86 (2011). https://doi.org/10.1016/j.mser.2010.09.001

    Article  Google Scholar 

  11. L. Doyen, X. Federspiel, D. Ney, Improved bipolar electromigration model, in 44th Annual., IEEE International Reliability Physics Symposium Proceeding (2006), pp. 683–684. https://doi.org/10.1109/relphy.2006.251323

  12. R.G. Filippi, P.C. Wang, A. Brendler, et al., The effect of a threshold failure time and bimodal behavior on the electromigration lifetime of copper interconnects, in 2009 IEEE International Reliability Physics Symposium (2009), pp. 444–451. https://doi.org/10.1109/irps.2009.5173295

  13. T. Gupta, Copper Interconnect Technology. Springer (2009). https://doi.org/10.1007/978-1-4419-0076-0

    Book  Google Scholar 

  14. C.S. Hau-Riege, An introduction to Cu electromigration, Microel. Reliab. 44, 195–205. https://doi.org/10.1016/j.microrel.2003.10.020 (5, 2004)

    Article  Google Scholar 

  15. A. Heryanto, K.L. Pey, Y. Lim, et al., Study of stress migration and electromigration interaction in copper/low-k interconnects, in IEEE International Reliability Physics Symposium (IRPS) (2010), pp. 586–590

    Google Scholar 

  16. International Technology Roadmap for Semiconductors (ITRS), 2013 edn. (2014), http://www.itrs2.net/itrs-reports.html. Last retrieved on 1 Jan 2018

  17. International Technology Roadmap for Semiconductors 2.0 (ITRS 2.0), 2015 edn (2016), http://www.itrs2.net/itrs-reports.html. Last retrieved on 1 Jan 2018

  18. G. Jerke, J. Lienig, Early-stage determination of current-density criticality in interconnects, in Proceeding of International Symposium on Quality in Electronic Design (ISQED) (2010), pp. 667–774. https://doi.org/10.1109/isqed.2010.5450505

  19. P. Jain, A. Jain, Accurate estimation of signal currents for reliability analysis considering advanced waveform-shape effects, in 24th International Conference on VLSI Design (VLSI Design) (2011), pp. 118–123. https://doi.org/10.1109/vlsid.2011.61

  20. Y.-C. Joo, C.V. Thompson, Electromigration-induced transgranular failure mechanisms in single-crystal aluminum interconnects. J. Appl. Phys. 81(9), 6062–6072 (1997). https://doi.org/10.1063/1.364454

    Article  Google Scholar 

  21. K. Küpfmüller, W. Mathis, A. Reibiger, Theoretische Elektrotechnik/Eine Einführung, 19. aktual. Aufl. (Springer Vieweg, 2013). ISBN 978-3-642-37940-6

    Book  Google Scholar 

  22. J. Knechtel, I.L. Markov, J. Lienig, Assembling 2-D blocks into 3-D chips, IEEE Transaction on Computer-Aided Design of Integrated Circuits and Systems, vol. 31, no. 2 (2012), pp. 228–241. https://doi.org/10.1109/tcad.2011.2174640

  23. J. Knechtel, E.F.Y. Young, J. Lienig, Planning massive interconnects in 3D chips, IEEE Transaction on Computer-Aided Design of Integrated Circuits and Systems, vol. 34, no. 11 (2015), pp. 1808–1821. https://doi.org/10.1109/tcad.2015.2432141

  24. A.R. Lavoie, F. Gstrein, Self-aligned cap and barrier. U.S. Patent App. 12/165,016, 2009

    Google Scholar 

  25. J. Lienig, Interconnect and current density stress—an introduction to electromigration-aware design, in Proceeding of 2005 Interconnect Workshop on System Level Interconnect Prediction (SLIP) (2005), pp. 81–88. https://doi.org/10.1145/1053355.1053374

  26. J. Lienig, Introduction to electromigration-aware physical design, in Proceeding of International Symposium on Physical Design (ISPD) (ACM, 2006), pp. 39–46. https://doi.org/10.1145/1123008.1123017

  27. W. Li, C.M. Tan, Black’s equation for today’s ULSI interconnect electromigration reliability—A revisit, in International Conference of Electron Devices and Solid-State Circuits (EDSSC) (2011), pp. 1–2. https://doi.org/10.1109/edssc.2011.6117717

  28. T.O. Ogurtani, E.E. Oren, Computer simulation of void growth dynamics under the action of electromigration and capillary forces in narrow thin interconnects. J. Appl. Phys. 90(3), 1564–1572 (2001). https://doi.org/10.1063/1.1382835

    Article  Google Scholar 

  29. K. Shono, T. Kuroki, H. Sekiya, et al. Mechanism of AC electromigration, in Proceeding Seventh International IEEE VLSI Multilevel Interconnection Conference (1990), pp. 99–105. https://doi.org/10.1109/vmic.1990.127851

  30. Y. Sohn, Phase-field modeling and experimentation of constituents redistribution in metallic alloys, Slides of NIST Diffusion Workshop (2009), https://www.nist.gov/sites/default/files/documents/mml/msed/thermodynamics_kinetics/NIST-09-Workshop-fsrd.pdf. Last retrieved on 1 Jan 2018

  31. W. Schatt, H. Worch (ed.), Werkstoffwissenschaft, 8. neu bearb. Aufl. (Dt. Verl. für Grundstoffindustrie, Stuttgart, 1996). ISBN 3-342-00675-7

    Google Scholar 

  32. J. Tao, J.F. Chen, N.W. Cheung, et al., Modeling and characterization of electromigration failures under bidirectional current stress. IEEE Trans. Electron Devices 43(5), 800–808 (1996). https://doi.org/10.1109/16.491258

    Article  Google Scholar 

  33. J. Tao, N.W. Cheung, C. Hu, Metal electromigration damage healing under bidirectional current stress. IEEE Electron Device Lett. 14(12), 554–556 (1993). https://doi.org/10.1109/55.260787

    Article  Google Scholar 

  34. C.M. Tan, Y. Hou, W. Li, Revisit to the finite element modeling of electromigration for narrow interconnects, J. Appl. Phys. 102(3), 033705-1–033705-7 (2007)

    Google Scholar 

  35. M. Thiele, S. Bigalke, J. Lienig, Exploring the use of the finite element method for electromigration analysis in future physical design, in Proceeding of the 25th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC) (2017), pp. 1–6. https://doi.org/10.1109/VLSI-SoC.2017.8203466

  36. C.V. Thompson, Using line-length effects to optimize circuit-level reliability, in 15th International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA) (2008), pp. 1–48. https://doi.org/10.1109/ipfa.2008.4588155

  37. K.-N. Tu, Solder Joint Technology--Materials, Properties, and Reliability. Springer (2007). https://doi.org/10.1007/978-0-387-38892-2

  38. M. Uekubo, T. Oku, K. Nii, et al., Wnx diffusion barriers between Si and Cu. Thin Solid Films 286(1–2), 170–175 (1996). https://doi.org/10.1016/S0040-6090(96)08553-7

    Article  Google Scholar 

  39. S. Van Nguyen, A. Grill, T.J. Haigh, Jr., et al., Self-aligned composite M-MOx/dielectric cap for Cu interconnect structures. U.S. Patent 8,299,365, 2012

    Google Scholar 

  40. W. Wu, J.S. Yuan, Skin effect of on-chip copper interconnects on electromigration. Solid-State Electron. 46(12), 2269–2272 (2002). https://doi.org/10.1016/S0038-1101(02)00232-0

    Article  Google Scholar 

  41. K. Weide-Zaage, D. Dalleau, X. Yu, Static and dynamic analysis of failure locations and void formation in interconnects due to various migration mechanisms. Mater. Sci. Semicond. Process. 6(1–3), 85–92 (2003). https://doi.org/10.1016/S1369-8001(03)00075-1

    Article  Google Scholar 

  42. X. Xu, A. Karmarkar, 3D TCAD modeling for stress management in through silicon via (TSV) stacks. AIP Conf. Proc. 1378(53), 53–66 (2011). https://doi.org/10.1063/1.3615695

    Article  Google Scholar 

  43. H. Ye, C. Basaran, D.C. Hopkins, Numerical simulation of stress evolution during electromigration in IC interconnect lines. IEEE Trans. Compon. Packag. Technol. 26(3), 673–681 (2003). https://doi.org/10.1109/TCAPT.2003.817877

    Article  Google Scholar 

  44. C.S. Yoo, Semiconductor Manufacturing Technology, World Sci. (2008). ISBN 978-981-256-823-6

    Google Scholar 

  45. X. Yu, K. Weide, A study of the thermal-electrical- and mechanical influence on degradation in an aluminum-pad structure. Microelectron. Reliab. 37(10–11), 1545–1548 (1997). https://doi.org/10.1016/S0026-2714(97)00105-4

    Article  Google Scholar 

  46. A. Ziabari, J.-H. Park, E.K. Ardestani, et al., Power blurring: fast static and transient thermal analysis method for packaged integrated circuits and power devices, in IEEE Transactions on VLSI Systems, vol. 22, no. 11 (2014), pp. 2366–2379. https://doi.org/10.1109/tvlsi.2013.2293422

    Article  Google Scholar 

  47. C.J. Zhai, H.W. Yao, P.R. Besser, et al., Stress modeling of Cu/low-k BEoL—Application to stress migration, in Proceeding 42nd Annual IEEE International Reliability Physics Symposium (2004), pp. 234–239. https://doi.org/10.1109/relphy.2004.1315329

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jens Lienig .

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Lienig, J., Thiele, M. (2018). Fundamentals of Electromigration. In: Fundamentals of Electromigration-Aware Integrated Circuit Design. Springer, Cham. https://doi.org/10.1007/978-3-319-73558-0_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-73558-0_2

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-73557-3

  • Online ISBN: 978-3-319-73558-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics