Skip to main content

Monolithic 3D Integration

  • Chapter
  • First Online:
CHIPS 2020 VOL. 2

Part of the book series: The Frontiers Collection ((FRONTCOLL))

Abstract

As the down-sizing of transistors has arrived at fundamental and practical limits, the technology direction with the largest potential for progress is the integration of transistors in the 3rd dimension on top of each other, maintaining and using the quality of monolithic, crystalline silicon in all successive transistor layers . After decades of exploratory research, monolithic 3D integration is now ready for cost-effective, large-scale implementation of nanoelectronic systems. It offers the largest gains in transistors-per-chip, it solves the on-chip interconnect and communication gridlock and thus the energy, speed and bandwidth problems. It opens a new era of effective industry networks for the sustained growth of the nanoelectronics economy. Monolithic 3D is already being adapted for mass production, in the non-volatile memory segment-3D NAND, and it can be expected that the other segments of the semiconductor industry will follow.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 59.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Zingg, R.: Stacked CMOS inverter with symmetric device performance. IEDM (1989)

    Google Scholar 

  2. Roos, G.: Complex 3D CMOS circuits based on a triple-decker cell. J. Solid-State Circuits 27, 1067 (1992)

    Google Scholar 

  3. Or-Bach, Z.: The monolithic 3D advantage: monolithic 3D is far more than just an alternative to 0.7× scaling. In: IEEE 3DIC Conference (2013)

    Google Scholar 

  4. Batude, P. et al.: Direct bonding: a key enabler for 3D monolithic integration. In: Proceedings of the Electro-Chemical Society (ECS) spring meeting, vol. 16, pp. 47 (2008)

    Google Scholar 

  5. Rajendran, B.: Pulsed laser annealing: a scalable and practical technology for monolithic 3D IC. In: IEEE 3DIC Conference (2013)

    Google Scholar 

  6. Yang, C.-C.:. Record-high 121/62 μA/μm on-currents 3D stacked epi-like Si FETs with and without metal back gate, paper 29.6 IEDM (2013)

    Google Scholar 

  7. Shen, C.-H.: Monolithic 3D chip integrated with 500 ns NVM, 3 ps logic circuits and SRAM. IEDM (2013)

    Google Scholar 

  8. Lee, S.-Y.: Wafer bonding method, US Patent 7,470,142

    Google Scholar 

  9. Natio, T.: World’s first monolithic 3D-FPGA with TFT SRAM over 90 nm 9 layer Cu CMOS, VLSI Technology (2010)

    Google Scholar 

  10. Wong, S.: Monolithic 3D integrated circuits VLSI-TSA (2007)

    Google Scholar 

  11. Ishihara, R.: Monolithic 3D-ICs with single grain Si thin film transistors ULSI 2011

    Google Scholar 

  12. Yonehara, T.: Monolithic 3D-ICs with single grain Si thin film transistors. JSAP International No. 4, July 2001

    Google Scholar 

  13. Sadaka, M.: Smart stacking™ and smart Cut™ technologies for wafer level 3D integration. ICICDT (2013)

    Google Scholar 

  14. Topol, A.W.: Enabling SOI-based assembly technology for three-dimensional (3D) integrated circuits (ICs). IEDM (2005)

    Google Scholar 

  15. Vinet, M.: Monolithic 3D integration: a powerful alternative to classical 2D scaling. IEEE S3S (2014)

    Google Scholar 

  16. Deleonibus, S.: Future challenges and opportunities for heterogeneous process technology. Towards the thin films, zero intrinsic variability devices, zero power Era, IEDM (2014)

    Google Scholar 

  17. ASIP Conf.—Hughes Metras, Dec. 12, 2014

    Google Scholar 

  18. Sekar, D.C.: Monolithic 3D-ICs with single crystal silicon layers. IEEE 3DIC Conference (2011)

    Google Scholar 

  19. Kim, J.Y.: The breakthrough in data retention time of DRAM using recess-channel-array transistor (RCAT) for 88 nm feature size and beyond. Symposium on VLSI Technology (2003)

    Google Scholar 

  20. Uhrmann, T.: Monolithic IC integration key alignment aspects for high process yield. IEEE S3S (2014)

    Google Scholar 

  21. Sugaya, I.: New precision alignment methodology for CMOS wafer bonding. IEEE S3S (2014)

    Google Scholar 

  22. Or-Bach, Z.: Precision bonders—a game changer for monolithic 3D. IEEE S3S (2014)

    Google Scholar 

  23. Or-Bach, Z.: Practical process flows for monolithic 3D. IEEE S3S (2013)

    Google Scholar 

  24. Widjaja, Y.: Method of maintaining the state of semiconductor memory having electrically floating body transistor. US Patent 8,514,623

    Google Scholar 

  25. http://www.monolithic3d.com/ultra-large-integration—redundancy-and-repair.html

  26. http://www.monolithic3d.com/blog/how-much-does-ion-cut-cost1

  27. Xie, Y.: BooK: three-dimensional IC Design. Springer, Berlin (2009)

    Google Scholar 

  28. Zhou, L.: CASCADE: a standard supercell design methodology with congestion-driven placement for three-dimensional interconnect-heavy very-large-scale integrated circuits. In: IEEE Transactions on CAD of IC and Systems, July 2007

    Google Scholar 

  29. Sarhan, H.: 3DCoB: a new design approach for monolithic 3D integrated circuits. ASP-DAC (2014)

    Google Scholar 

  30. Bobba, S.: CELONCEL: effective design technique for 3-D monolithic integration targeting high-performance integrated circuits. ASP-DAC (2011)

    Google Scholar 

  31. Bobba, S.: Cell transformations and physical design techniques for 3D monolithic integrated circuits. ACM JETCS, Sept. 2013

    Google Scholar 

  32. Chang, L.: IBM, technology optimization for high energy efficient computation. Short Course, IEDM (2012)

    Google Scholar 

  33. Wei, H.: Cooling three-dimensional integrated circuits using power delivery networks. IEDM (2012)

    Google Scholar 

  34. Sekar, D.C.: Semiconductor device and structure. US Patent 8,686,428

    Google Scholar 

  35. Tanaka, H.: Bit cost scalable technology with punch and plug process for ultra high density flash memory. VLSI (2007)

    Google Scholar 

  36. Fukuzumi, Y.: Optimal integration and characteristics of vertical array devices for ultra-high density, bit-cost scalable flash memory. IEDM 2007

    Google Scholar 

  37. Nitayama, A.: 3D NAND flash memories. Tutorials, S3S (2014)

    Google Scholar 

  38. Depak, S.: 3D RRAM. IEEE S3S (2014)

    Google Scholar 

  39. Jang, J.: Vertical cell array using TCAT (Terabit Cell Array Transistor) technology for ultra-high-density NAND flash memory. VLSI Symposium (2009)

    Google Scholar 

  40. Or-Bach, Z.: Semiconductor device and structure. US Patent 8,379,458

    Google Scholar 

  41. Fitzerald, E.: Monolithic 3D integration in a CMOS process flow. IEEE S3S (2014)

    Google Scholar 

  42. Ebrahimi, M.: Monolithic 3D integration advances and challenges: from technology to system levels. IEEE S3S (2014)

    Google Scholar 

  43. Or-Bach, Z.: FPGAs as ASIC alternatives: past & future. EE Times Apr. 21 (2014)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Zvi Or-Bach .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Or-Bach, Z. (2016). Monolithic 3D Integration. In: Höfflinger, B. (eds) CHIPS 2020 VOL. 2. The Frontiers Collection. Springer, Cham. https://doi.org/10.1007/978-3-319-22093-2_3

Download citation

Publish with us

Policies and ethics