Skip to main content
Log in

In-situ process monitoring for eco-friendly chemical vapor deposition chamber cleaning

  • Original Paper - Fluids, Plasma and Phenomenology
  • Published:
Journal of the Korean Physical Society Aims and scope Submit manuscript

Abstract

The use of plasma-enhanced chemical vapor deposition (PECVD) has drastically increased the development of 3D-NAND fabrication. We investigated a potential alternative method to replace the usage of NF3 in ACL deposition chamber cleaning with reasonable cleaning efficiency. Perfluoro-compounds (PFCs) have been listed as global warming gases, and NF3, which has 17,200 of 100 years GWP, is expected to be listed in a few years; thus, its usage for PECVD chamber cleaning in the semiconductor and display manufacturing industries may have restricted in the near future. To understand the plasma-cleaning phenomenon inside the PECVD chamber, we monitored the in situ plasma process using optical emission spectroscopy (OES) and quadrupole mass spectroscopy (QMS). The cleaning mechanism was revealed through the chemical species in the plasma using OES, and the presence of harmful gases in the exhaust stage was confirmed using QMS. O2, N2, and NH3 were selected as gases that could replace NF3, and the individual gases, as well as gas mixtures, were analyzed. A mixture of 20% N2 in O2 showed the highest film removal rate in ACL deposition chamber cleaning, and the gas with low GWP was released. Through this study, we propose an eco-friendly chamber cleaning method that can replace the existing method that causes global warming problems.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9

Similar content being viewed by others

References

  1. C.C. Lai, Y.H. Chang, H.J. Chien, M.C. Lu, Hard mask and lithographic capabilities improvement by amorphous carbon step coverage optimization in high aspect ratio device pattern. Vacuum 153, 267 (2018). https://doi.org/10.1016/j.vacuum.2018.04.005

    Article  ADS  Google Scholar 

  2. S.J. Park, D. Kim, S. Lee, Y. Ha, M. Lim, K. Kim, Diamond-like amorphous carbon layer film by an inductively coupled plasma system for next generation etching hard mask. Thin Solid Films 663, 21 (2018). https://doi.org/10.1016/j.tsf.2018.08.007

    Article  ADS  Google Scholar 

  3. S. Kwon, Y. Park, W. Ban, C. Youn, S. Lee, J. Yang, D. Jung, T. Choi, Effect of plasma power on properties of hydrogenated amorphous silicon carbide hardmask films deposited by PECVD. Vacuum 174, 109187 (2020). https://doi.org/10.1016/j.vacuum.2020.109187

    Article  ADS  Google Scholar 

  4. G. Lee, D.K. Sohn, S.H. Seok, H.S. Ko, The effect of hole density variation in the PECVD reactor showerhead on the deposition of amorphous carbon layer. Vacuum 163, 37 (2019). https://doi.org/10.1016/j.vacuum.2019.02.009

    Article  ADS  Google Scholar 

  5. D. Kim, T. Kim, S.H. Park, S.K. Lim, H. Lee, T. Kim, S. Kang, Characterization of particle generated during plasma-enhanced chemical vapor deposition on amorphous carbon layer using particle beam mass spectrometer. J. Vac. Sci. Technol. A 36, 21506 (2018). https://doi.org/10.1116/1.5000087

    Article  Google Scholar 

  6. A. Masuda, Y. Ishibashi, K. Uchida, K. Kamesaki, A. Izumi, H. Matsumura, In situ chamber cleaning using atomic H in catalytic-CVD apparatus for mass production of a-Si: H solar cells. Sol. Energy Mater. Sol. Cells 74, 373 (2002). https://doi.org/10.1016/S0927-0248(02)00130-7

    Article  Google Scholar 

  7. S. Xu, Z. Sun, X. Qian, J. Holland, D. Podlesnik, Characteristics and mechanism of etch process sensitivity to chamber surface condition. J. Vac. Sci. Technol. B 19, 166 (2001). https://doi.org/10.1116/1.1330266

    Article  Google Scholar 

  8. G. Cunge, B. Pelissier, O. Joubert, R. Ramos, C. Maurice, New chamber walls conditioning and cleaning strategies to improve the stability of plasma processes. Plasma Source Sci. Technol. 14, 599 (2005). https://doi.org/10.1088/0963-0252/14/3/025

    Article  ADS  Google Scholar 

  9. A. Drenik, A. Vesel, M. Mozetič, Controlled carbon deposit removal by oxygen radicals. J. Nucl. Mater. 893, 386–388 (2009). https://doi.org/10.1016/j.jnucmat.2008.12.218

    Article  Google Scholar 

  10. S.P. Gangoli, A.D. Johnson, A.A. Fridman, R.V. Pearce, A.F. Gutsol, A. Dolgopolsky, Production and transport chemistry of atomic fluorine in remote plasma source and cylindrical reaction chamber. J. Phys. D 40, 5140 (2007). https://doi.org/10.1088/0022-3727/40/17/020

    Article  ADS  Google Scholar 

  11. J. Boudaden, S. Altmannshofer, R. Wieland, M. Pittroff, I. Eisele, An approach to reduce greenhouse gases in the semiconductor industry using F2 dissociated in plasma for CVD chamber cleaning. Appl. Sci. 8, 846 (2018). https://doi.org/10.3390/app8060846

    Article  Google Scholar 

  12. S. Raoux, T. Tanaka, M. Bhan, H. Ponnekanti, M. Seamons, T. Deacon, L.Q. Xia, F. Pham, D. Silvetti, D. Cheung, K. Fairbairn, A. Jonhson, R. Pearce, J. Langan, Remote microwave plasma source for cleaning chemical vapor deposition chambers: technology for reducing global warming gas emissions. J. Vac. Sci. Technol. B 17, 477 (1999). https://doi.org/10.1116/1.590580

    Article  Google Scholar 

  13. C.H. Oh, N. Lee, J.H. Kim, G.Y. Yeom, S.S. Yoon, T.K. Kwon, Increase of cleaning rate and reduction in global warming effect during C4F8O/O2 remote plasma cleaning of silicon nitride by adding NO and N2O. Thin Solid Films 435, 264 (2003). https://doi.org/10.1016/S0040-6090(03)00397-3

    Article  ADS  Google Scholar 

  14. S. Huang, V. Volynets, J.R. Hamilton, S.K. Nam, I. Song, S. Lu, J. Tennyson, M.J. Kushner, Downstream etching of silicon nitride using continuous-wave and pulsed remote plasma sources sustained in Ar/NF3/O2 mixtures. J. Vac. Sci. Technol. A 36, 021305 (2018). https://doi.org/10.1116/1.5019673

    Article  Google Scholar 

  15. W. Tsai, Environmental and health risk analysis of nitrogen trifluoride (NF3), a toxic and potent greenhouse gas. J. Hazard. Mater. 159, 257 (2008). https://doi.org/10.1016/j.jhazmat.2008.02.023

    Article  Google Scholar 

  16. K.P. Kim, W.S. Song, M.K. Park, S.J. Hong, Surface analysis of amorphous carbon thin film for etch hard mask. J. Nanosci. Nanotechnol. 21, 2032 (2021). https://doi.org/10.1166/jnn.2021.18919

    Article  Google Scholar 

  17. X. Li, X. Hua, L. Ling, G.S. Oehrlein, E. Karwacki, B. Ji, Surface chemical changes of aluminum during NF3-based plasma processing used for in-situ chamber cleaning. J. Vac. Sci. Technol. A 22, 158 (2004). https://doi.org/10.1116/1.1633566

    Article  ADS  Google Scholar 

  18. K. Yang, S. Park, G. Yeom, Low global warming potential alternative gases for plasma chamber cleaning. Sci. Adv. Mater. 8, 2253 (2016). https://doi.org/10.1166/sam.2016.2885

    Article  Google Scholar 

  19. G. Kang, S. An, K. Kim, S. Hong, An in situ monitoring method for PECVD process equipment condition. Plasma Sci. Technol. 21, 064003 (2019). https://doi.org/10.1088/2058-6272/aafb2b

    Article  ADS  Google Scholar 

  20. M.H. Jeon, J.W. Park, D.H. Yun, K.N. Kim, G.Y. Yeom, Etch properties of amorphous carbon material using RF pulsing in the O2/N2/CHF3 plasma. J. Nanosci. Nanotechnol. 15, 8577 (2015). https://doi.org/10.1166/jnn.2015.11489

    Article  Google Scholar 

  21. F. Anooshehpour, S. Turgeon, M. Cloutier, D. Mantovani, G. Laroche, Optical emission spectroscopy as a process-monitoring tool in plasma enhanced chemical vapor deposition of amorphous carbon coatings-multivariate statistical modelling. Thin Solid Films 649, 106 (2018). https://doi.org/10.1016/j.tsf.2018.01.029

    Article  ADS  Google Scholar 

  22. G. Garcia-Cosio, H. Martinez, M. Calixto-Rodriguez, A. Gomez, DC discharge experiment in an Ar/N2/CO2 ternary mixture: a laboratory simulation of the Martian ionosphere’s plasma environment. J. Quant. Spectrosc. Radiat. Transf. 112, 2787 (2011). https://doi.org/10.1016/j.jqsrt.2011.09.008

    Article  ADS  Google Scholar 

  23. R. Jani, J.S. Kim, M.K. Jacoby, S. Rajendran, S. Kashibhatla, in Proceedings of the 30th SEMI/ASMC (Saratoga Springs, NY, May 6–9, 2019). https://doi.org/10.1109/ASMC.2019.8791768

  24. D. Xiang, H. Xia, W. Yang, P. Mou, Parametric study and residual gas analysis of large-area silicon-nitride thin-film deposition by plasma-enhanced chemical vapor deposition. Vacuum 165, 172 (2019). https://doi.org/10.1016/j.vacuum.2019.04.017

    Article  ADS  Google Scholar 

  25. K.B.K. Teo, M. Chhowalla, G.A.J. Amaratunga, W.I. Milne, D.G. Hasko, G. Porio, P. Laganeux, F. Wyczisk, D. Pribat, Uniform patterned growth of carbon nanotubes without surface carbon. Appl. Phys. Lett. 79, 1534 (2001). https://doi.org/10.1063/1.1400085

    Article  ADS  Google Scholar 

Download references

Acknowledgements

This work was supported by Korea Evaluation Institute of Industrial Technology (GID: K_G012001079801). We are grateful to Mr. Yoo Young Lee and Bio Lim in R&D Center at TES, Korea, for their technical discussion on ACL deposition.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sang Jeen Hong.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

An, SR., Choi, J.E. & Hong, S.J. In-situ process monitoring for eco-friendly chemical vapor deposition chamber cleaning. J. Korean Phys. Soc. 79, 1027–1036 (2021). https://doi.org/10.1007/s40042-021-00307-8

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s40042-021-00307-8

Keywords

Navigation