Skip to main content
Log in

A 0.25–1.0 V fully synthesizable three-stage dynamic voltage comparator based XOR&XNOR&NAND&NOR logic

  • Mixed Signal Letter
  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

To improve the performance of all-digital synthesizable comparators for the stochastic circuit, we present a three-stage rail-to-rail fully synthesizable dynamic voltage comparator. Compared with the state-of-the-art designs, the proposed comparator uses XOR, XNOR, NAND, and NOR logic gates to further improve the comparator’s common-mode input range, offset, speed and power-delay product (PDP). The comparator is implemented on CMOS 45 nm technology, operating with a supply voltage of 250 mV–1.0 V. The comparator has reduced the delay by 0.70 to \(0.82\times \), increased the standard deviation of offset by 1.28 to \(1.65\times \) and reduced the PDP down to \(0.67\times \) compared to NAND & NOR-based comparator. Hence, these improvements help to increase the performance of the stochastic Flash ADC, and improve the reliability of the stochastic PUF circuit.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8

References

  1. Weaver, S., Hershberg, B., & Moon, U. (2014). Digitally synthesized stochastic flash ADC using only standard digital cells. IEEE Transactions on Circuits and Systems I, 61(1), 84–91.

    Article  Google Scholar 

  2. Fahmy, A., Liu, J., Kim, T., & Maghari, N. (2015). An all-digital scalable and reconfigurable wide-input range stochastic ADC using only standard cells. IEEE Transactions on Circuits and Systems II, 62(8), 731–735.

    Article  Google Scholar 

  3. Khalapure, S., Siddharth, R. K., YB, N. K., & Vasantha, M. H. (2017). Design of 5-bit flash ADC using multiple input standard cell gates for large input swing. In IEEE computer society annual symposium on VLSI (ISVLSI) (pp. 585–588).

  4. Xu, B., Li, S., Sun, N., & Pan, D. Z. (2017). A scaling compatible, synthesis friendly VCO-based delta-sigma ADC design and synthesis methodology. In Proceedings of ACM/EDAC/IEEE design automation conference (DAC) (pp. 1–6).

  5. Park, J., Hwang, Y., & Jeong, D. (2019). A 0.5-V fully synthesizable SAR ADC for on-chip distributed waveform monitors. IEEE Access, 7, 63686–63697.

    Article  Google Scholar 

  6. Jeon, M., Yoo, W., Kim, C., & Yoo, C. (2017). A stochastic flash analog-to-digital converter linearized by reference swapping. IEEE Access, 5, 23046–23051.

    Article  Google Scholar 

  7. Li, X., Zhou, T., Ji, Y., & Li, Y. (2020). A 0.35 V-to-1.0 V synthesizable rail-to-rail dynamic voltage comparator based OAI & AOI logic. Analog Integrated Circuits and Signal Processing, 105(3), 1–7.

    Google Scholar 

  8. Aiello, O, Crovetti, P., & Alioto, M. (2018). Fully synthesizable, rail-to-rail dynamic voltage comparator for operation down to 0.3 V. In Proceedings of IEEE international symposium on circuits and systems (ISCAS) (pp. 1–5).

  9. Choo, K., Kim, H., Kim, W., Kim, J., Kim, T., & Ko, H. (2018). A 0.02 mm\(^2\) fully synthesizable period-jitter sensor using stochastic TDC without reference clock and calibration in 10 nm CMOS technology. In IEEE international solid-state circuits conference (ISSCC) digest of technical papers (pp. 120–122).

  10. Machado, R., Cabral, J., & Alves, F. S. (2019). All-digital time-to-digital converter design methodology based on structured data paths. IEEE Access, 7, 108447–108457.

    Article  Google Scholar 

  11. Liu, J., Park, B., Guzman, M., Fahmy, A., Kim, T., & Maghari, N. (2018). A fully synthesized 77-dB SFDR reprogrammable SRMC filter using digital standard cells. IEEE Transactions on VLSI Systems, 26(6), 1126–1138.

    Article  Google Scholar 

  12. Bhargava, M., Cakir, C., & Mai, K. (2010). Attack resistant sense amplifier based PUFs (SA-PUF) with deterministic and controllable reliability of PUF responses. In IEEE international symposium on hardware oriented security and trust (HOST) (pp. 106–111).

  13. Bryant, T., Chowdhury, S., Forte, D., Tehranipoor, M., & Maghari, N. (2017). A stochastic all-digital weak physically unclonable function for analog/mixed-signal applications. In IEEE international symposium on hardware oriented security and trust (HOST) (pp. 140–145).

  14. Taneja, S., Alvarez, A. B., & Alioto, M. (2018). Fully synthesizable PUF featuring hysteresis and temperature compensation for 3.2% native BER and 1.02 fJ/b in 40 nm. IEEE Journal of Solid-State Circuits, 53(10), 2828–2839.

    Article  Google Scholar 

  15. Li, Y., Zhang, X., Zhang, Z., & Lian, Y. (2016). A 0.45-to-1.2-V fully digital low-dropout voltage regulator with fast-transient controller for near/subthreshold circuits. IEEE Transactions on Power Electronics, 31(9), 6341–6350.

    Article  Google Scholar 

  16. Deng, W., Yang, D., Ueno, T., Siriburanon, T., Kondo, S., Okada, K., & Matsuzawa, A. (2015). A fully synthesizable all-digital PLL with interpolative phase coupled oscillator, current-output DAC, and fine-resolution digital varactor using gated edge injection technique. IEEE Journal of Solid-State Circuits, 50(1), 68–80.

    Article  Google Scholar 

  17. Chang, D., Seo, M., Hong, H., & Ryu, S. (2018). A 65 nm 0.08-to-680 MHz low-power synthesizable MDLL with nested-delay cell and background static phase offset calibration. IEEE Transactions on Circuits and Systems II, 65(3), 281–285.

    Article  Google Scholar 

  18. Seong, K., Lee, W., Kim, B., Sim, J., & Park, H. (2017). All-synthesizable current-mode transmitter driver for USB2.0 interface. IEEE Transactions on VLSI Systems, 25(2), 788–792.

    Article  Google Scholar 

  19. Yuan, W., & Walling, J. S. (2017). A switched-capacitor-controlled digital-current modulated class-E transmitter. IEEE Transactions on VLSI Systems, 25(11), 3218–3226.

    Article  Google Scholar 

  20. Hirai, Y., Matsuoka, T., Tani, S., Isami, S., Tatsumi, K., Ueda, M., & Kamata, T. (2019). A biomedical sensor system with stochastic A/D conversion and error correction by machine learning. IEEE Access, 7, 21990–22001.

    Article  Google Scholar 

  21. Li, Y., Mao, W., Zhang, Z., & Lian, Y. (2014). An ultra-low voltage comparator with improved comparison time and reduced offset voltage. In Proceedings of IEEE Asia pacific conference on circuits and systems (APCCAS) (pp. 407–410).

  22. Bui, H. T., Al-Sheraidah, A. K., & Wang, Y. (2000). New 4-transistor XOR and XNOR designs. In Proceedings of second IEEE Asia pacific conference on ASICs (AP-ASIC) (pp. 25–28).

  23. Wicht, B., Nirschl, T., & Schmitt-Landsiedel, D. (2004). Yield and speed optimization of a latch-type voltage sense amplifier. IEEE Journal of Solid-State Circuits, 39(7), 1148–1158.

    Article  Google Scholar 

Download references

Acknowledgements

This research is supported in part by the National Key Research and Development Program of China under Grant No. 2019YFB2204500 and in part by the National Science Foundation of China under Grant No. 61874171 and in part by in part by the Science, Technology and Innovation Action Plan of Shanghai Municipality, China under Grant No. 1914220370.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yongfu Li.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Zhou, T., Li, X., Ji, Y. et al. A 0.25–1.0 V fully synthesizable three-stage dynamic voltage comparator based XOR&XNOR&NAND&NOR logic. Analog Integr Circ Sig Process 108, 221–228 (2021). https://doi.org/10.1007/s10470-021-01838-7

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-021-01838-7

Keywords

Navigation