Skip to main content

2.5D IC Integration

  • Chapter
  • First Online:
Semiconductor Advanced Packaging

Abstract

The 2.5D IC integration defined by the electronic semiconductor industry as chips are supported by a passive TSV (through-silicon via) interposer.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 179.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Souriau, J., O. Lignier, M. Charrier, and G. Poupon, “Wafer Level Processing Of 3D System in Package for RF and Data Applications”, IEEE/ECTC Proceedings, 2005, pp. 356–361.

    Google Scholar 

  2. Henry, D., D. Belhachemi, J-C. Souriau, C. Brunet-Manquat, C. Puget, G. Ponthenier, J. Vallejo, C. Lecouvey, and N. Sillon, “Low Electrical Resistance Silicon Through Vias: Technology and Characterization”, IEEE/ECTC Proceedings, 2006, pp. 1360–1366.

    Google Scholar 

  3. Selvanayagam, C., J. H. Lau, X. Zhang, S. Seah, K. Vaidyanathan, and T. Chai, “Nonlinear Thermal Stress/Strain Analysis of Copper Filled TSV (Through Silicon Via) and Their Flip-Chip Microbumps”, IEEE/ECTC Proceedings, May 2008, pp. 1073–1081.

    Google Scholar 

  4. Yu, A., N. Khan, G. Archit, D. Pinjalal, K. Toh, V. Kripesh, S. Yoon, and J. H. Lau, “Fabrication of Silicon Carriers with TSV Electrical Interconnection and Embedded Thermal Solutions for High Power 3-D Package”, IEEE/ECTC Proceedings, May 2008, pp. 24–28.

    Google Scholar 

  5. Khan, N., V. Rao, S. Lim, H. We, V. Lee, X. Zhang, E. Liao, R. Nagarajan, T. C. Chai, V. Kripesh, and J. H. Lau, “Development of 3-D Silicon Module With TSV for System in Packaging”, IEEE/ECTC Proceedings, May 2008, pp. 550–555.

    Google Scholar 

  6. Selvanayagam, C., J. H. Lau, X. Zhang, S. Seah, K. Vaidyanathan, and T. Chai, “Nonlinear Thermal Stress/Strain Analyses of Copper Filled TSV (Through Silicon Via) and Their Flip-Chip Microbumps”, IEEE Transactions on Advanced Packaging, Vol. 32, No. 4, November 2009, pp. 720–728.

    Google Scholar 

  7. Khan, N., L. Yu, P. Tan, S. Ho, N. Su, H. Wai, K. Vaidyanathan, D. Pinjala, J. H. Lau, T. Chuan, “3D Packaging with Through Silicon Via (TSV) for Electrical and Fluidic Interconnections”, IEEE/ECTC Proceedings, May, 2009, pp. 1153–1158.

    Google Scholar 

  8. Yu, A., N. Khan, G. Archit, D. Pinjala, K. Toh, V. Kripesh, S. Yoon, and J. H. Lau, “Fabrication of Silicon Carriers With TSV Electrical Interconnections and Embedded Thermal Solutions for High Power 3-D Packages”, IEEE Transactions on CPMT, Vol. 32, No. 3, September 2009, pp. 566–571.

    Google Scholar 

  9. Tang, G. Y., S. Tan, N. Khan, D. Pinjala, J. H. Lau, A. Yu, V. Kripesh, and K. Toh, “Integrated Liquid Cooling Systems for 3-D Stacked TSV Modules”, IEEE Transactions on CPMT, Vol. 33, No. 1, March 2010, pp. 184–195.

    Google Scholar 

  10. Khan, N., H. Li, S. Tan, S. Ho, V. Kripesh, D. Pinjala, J. H. Lau, and T. Chuan, “3-D Packaging With Through-Silicon Via (TSV) for Electrical and Fluidic Interconnections”, IEEE Transactions on CPMT, Vol. 3, No. 2, February 2013, pp. 221–228.

    Google Scholar 

  11. Khan, N., V. Rao, S. Lim, H. We, V. Lee, X. Zhang, E. Liao, R. Nagarajan, T. C. Chai, V. Kripesh, and J. H. Lau, “Development of 3-D Silicon Module With TSV for System in Packaging”, IEEE Transactions on CPMT, Vol. 33, No. 1, March 2010, pp. 3–9.

    Google Scholar 

  12. Zhang, X., T. Chai, J. H. Lau, C. Selvanayagam, K. Biswas, S. Liu, D. Pinjala, et al., “Development of Through Silicon Via (TSV) Interposer Technology for Large Die (21x21mm) Fine-pitch Cu/low-k FCBGA Package”, IEEE/ECTC Proceedings, May 2009, pp. 305–312.

    Google Scholar 

  13. Chai, T. C., X. Zhang, J. H. Lau, C. S. Selvanayagam, D. Pinjala, et al., “Development of Large Die Fine-Pitch Cu/low-k FCBGA Package with through Silicon via (TSV) Interposer”, IEEE Transactions on CPMT, Vol. 1, No. 5, May 2011, pp. 660–672.

    Google Scholar 

  14. Lau, J. H., S. Lee, M. Yuen, J. Wu, C. Lo, H. Fan, and H. Chen, “Apparatus having thermal-enhanced and cost-effective 3D IC integration structure with through silicon via interposer”. US Patent No: 8,604,603, Filed Date: February 19, 2010, Date of Patent: December 10, 2013.

    Google Scholar 

  15. Lau, J. H., Y. S. Chan, and R. S. W. Lee, “3D IC Integration with TSV Interposers for High-Performance Applications”, Chip Scale Review, Vol. 14, No. 5, September/October, 2010, pp. 26–29.

    Google Scholar 

  16. Lau, J. H., M. S. Zhang, and S. W. R. Lee, “Embedded 3D Hybrid IC Integration System-in-Package (SiP) for Opto-Electronic Interconnects in Organic Substrates”, ASME Transactions, Journal of Electronic Packaging, Vol. 133, September 2011, pp. 1–7.

    Google Scholar 

  17. Chien, J., Y. Chao, J. H. Lau, M. Dai, R. Tain, M. Dai, P. Tzeng, C. Lin, Y. Hsin, S. Chen, J. Chen, C. Chen, C. Ho, R. Lo, T. Ku, and M. Kao, “A Thermal Performance Measurement Method for Blind Through Silicon Vias (TSVs) in a 300 mm Wafer”, IEEE/ECTC Proceedings, June 2011, pp. 1204–1210.

    Google Scholar 

  18. Chien, H. C., J. H. Lau, Y. Chao, R. Tain, M. Dai, S. T. Wu, W. Lo, and M. J. Kao, “Thermal Performance of 3D IC Integration with Through-Silicon Via (TSV)”, Proceedings of IMAPS International Conference, Long Beach, CA, October 2011, pp. 25–32.

    Google Scholar 

  19. Chien, H. C., J. H. Lau, Y. Chao, R. Tain, M. Dai, S. T. Wu, W. Lo, and M. J. Kao, “Thermal Performance of 3D IC Integration with Through-Silicon Via (TSV)”, IMAPS Transactions, Journal of Microelectronic Packaging, Vol. 9, 2012, pp. 97–103.

    Google Scholar 

  20. Chien, J., J. H. Lau, Chao, Y., M. Dai, R. Tain, L. Li, P. Su, J. Xue, M. Brillhart, “Thermal Evaluation and Analyses of 3D IC Integration SiP with TSVs for Network System Applications”, IEEE/ECTC Proceedings, May 2012, pp. 1866–1873.

    Google Scholar 

  21. Chien, H., J. H. Lau, T. Chao, M. Dai, and R. Tain, “Thermal Management of Moore’s Law Chips on Both sides of an Interposer for 3D IC integration SiP,” IEEE/ICEP Proceedings, Japan, April 2012, pp. 38–44.

    Google Scholar 

  22. Lau, J. H., H. C. Chien, and R. Tain, “TSV Interposers with Embedded Microchannels for 3D IC and LED Integration”, ASME Paper no. InterPACK2011–52204, Portland, OR, July 2011.

    Google Scholar 

  23. Lau, J. H., C-J Zhan, P-J Tzeng, C-K Lee, M-J Dai, H-C Chien, Y-L Chao, et al., “Feasibility Study of a 3D IC Integration System-in-Packaging (SiP) from a 300 mm Multi-Project Wafer (MPW)”, IMAPS International Symposium on Microelectronics, October 2011, pp. 446–454.

    Google Scholar 

  24. Lau, J. H., C-J Zhan, P-J Tzeng, C-K Lee, M-J Dai, H-C Chien, Y-L Chao, et al., “Feasibility Study of a 3D IC Integration System-in-Packaging (SiP) from a 300 mm Multi-Project Wafer (MPW)”, IMAPS Transactions, Journal of Microelectronic Packaging, Vol. 8, No. 4, Fourth Quarter 2011, pp. 171–178.

    Google Scholar 

  25. Zhan, C., P. Tzeng, J. H. Lau, M. Dai, H. Chien1, C. Lee, S. Wu, et al., “Assembly Process and Reliability Assessment of TSV/RDL/IPD Interposer with Multi-Chip-Stacking for 3D IC Integration SiP”, IEEE/ECTC Proceedings, May 2012, pp. 548–554.

    Google Scholar 

  26. Tzeng, P., J. H. Lau, M. Dai, S. Wu, H. Chien, Y. Chao, C. Chen, S. Chen, C. Wu, C. Lee, C. Zhan, J. Chen, Y. Hsu, T. Ku, and M. Kao, “Design, Fabrication, and Calibration of Stress Sensors Embedded in a TSV Interposer in a 300 mm Wafer”, IEEE/ECTC Proceedings, San Diego, CA, May 2012, pp. 1731–1737.

    Google Scholar 

  27. Sheu, S., Z. Lin, J. Hung,, J. H. Lau, P. Chen, S. Wu, K. Su, C. Lin, S. Lai, T. Ku, W. Lo, M. Kao, “An Electrical Testing Method for Blind Through Silicon Vias (TSVs) for 3D IC Integration”, IMAPS Transactions, Journal of Microelectronic Packaging, Vol. 8, No. 4, Fourth Quarter 2011, pp. 140–145.

    Google Scholar 

  28. Chen, J. C., J. H. Lau, P. J. Tzeng, S. Chen, C. Wu, C. Chen, H. Yu, Y. Hsu, S. Shen, S. Liao, C. Ho, C. Lin, T. K. Ku, and M. J. Kao, “Effects of Slurry in Cu Chemical Mechanical Polishing (CMP) of TSVs for 3-D IC Integration”, IEEE Transactions on CPMT, Vol. 2, No. 6, June 2012, pp. 956–963.

    Google Scholar 

  29. Lau, J. H., and G. Y. Tang, “Effects of TSVs (through-silicon vias) on thermal performances of 3D IC integration system-in-package (SiP)”, Journal of Microelectronics Reliability, Vo. 52, Issue 11, November 2012, pp. 2660–2669.

    Google Scholar 

  30. Lau, J. H., S. T. Wu, and H. C. Chien, “Nonlinear Analyses of Semi-Embedded Through-Silicon Via (TSV) Interposer with Stress Relief Gap Under Thermal Operating and Environmental Conditions”, IEEE EuroSime Proceedings, Chapter 11: Thermo-Mechanical Issues in Microelectronics, Lisbon, Portugal, April 2012, pp. 1/6–6/6.

    Google Scholar 

  31. Wu, C., S. Chen, P. Tzeng, J. H. Lau, Y. Hsu, J. Chen, Y. Hsin, C. Chen, S. Shen, C. Lin, T. Ku, and M. Kao, “Oxide Liner, Barrier and Seed Layers, and Cu-Plating of Blind Through Silicon Vias (TSVs) on 300 mm Wafers for 3D IC Integration”, IMAPS Transactions, Journal of Microelectronic Packaging, Vol. 9, No. 1, First Quarter 2012, pp. 31–36.

    Google Scholar 

  32. Li, L., P. Su, J. Xue, M. Brillhart, J. H. Lau, P. Tzeng, C. Lee, C. Zhan, M. Dai, H. Chien, and S. Wu, “Addressing Bandwidth Challenges in Next Generation High Performance Network Systems with 3D IC Integration,” IEEE ECTC Proceedings, San Diego, CA, May 2012, pp. 1040–1046.

    Google Scholar 

  33. Lau, J. H., P. Tzeng, C. Zhan, C. Lee, M. Dai, J. Chen, Y. Hsin, S. Chen, C. Wu, L. Li, P. Su, J. Xue, and M. Brillhart, “ Large Size Silicon Interposer and 3D IC Integration for System-in-Packaging (SiP)”, Proceedings of the 45th IMAPS International Symposium on Microelectronics, September 2012, pp. 1209–1214.

    Google Scholar 

  34. Lau, J. H., P. Tzeng, C. Lee, C. Zhan, M. Li, J. Cline, K. Saito, Y. Hsin, P. Chang, Y. Chang, J. Chen, S. Chen, C. Wu, H. Chang, C. Chien, C. Lin, T. Ku, R. Lo, and M. Kao, (Redistribution Layers (RDLs) for 2.5D/3D IC Integration”, Proceedings of the 46th IMAPS International Symposium on Microelectronics, October 2013, pp. 434–441.

    Google Scholar 

  35. Wu, S. T., H. Chien, J. H. Lau, M. Li, J. Cline, and M. Ji, “Thermal and Mechanical Design and Analysis of 3D IC Interposer with Double-Sided Active Chips”, IEEE/ECTC Proceedings, May 2013, pp. 1471–1479.

    Google Scholar 

  36. P. J., Tzeng, J. H. Lau, C. Zhan, Y. Hsin, P. Chang, Y. Chang, J. Chen, S. Chen, C. Wu, C. Lee, H. Chang, C. Chien, C. Lin, T. Ku, M. Kao, M. Li, J. Cline, K. Saito, and M. Ji, “Process Integration of 3D Si Interposer with Double-Sided Active Chip Attachments”, IEEE/ECTC Proceedings, May 2013, pp. 86–93.

    Google Scholar 

  37. Lau, J. H., P. Tzeng, C. Lee, C. Zhan, M. Li, J. Cline, K. Saito, Y. Hsin, P. Chang, Y. Chang, J. Chen, S. Chen, C. Wu, H. Chang, C. Chien, C. Lin, T. Ku, R. Lo, and M. Kao, “Redistribution Layers (RDLs) for 2.5D/3D IC Integration”, IMAPS Transactions, Journal of Microelectronic Packaging, Vol. 11, No. 1, First Quarter 2014, pp. 16–24.

    Google Scholar 

  38. Lau, J. H., C. Lee, C. Zhan, S. Wu, Y. Chao, M. Dai, R. Tain, H. Chien, C. Chien, R. Cheng, Y. Huang, Y. Lee, Z. Hsiao, W. Tsai, P. Chang, H. Fu, Y. Cheng, L. Liao, W. Lo, and M. Kao, “Low-Cost TSH (Through-Silicon Hole) Interposers for 3D IC Integration”, Proceedings of IEEE/ECTC, May 2014, pp. 290–296.

    Google Scholar 

  39. Lau, J. H., C. Lee, C. Zhan, S. Wu, Y. Chao, M. Dai, R. Tain, H. Chien, J. Hung, C. Chien, R. Cheng, Y. Huang, Y. Lee, Z. Hsiao, W. Tsai, P. Chang, H. Fu, Y. Cheng, L. Liao, W. Lo, and M. Kao, “Low-Cost Through-Silicon Hole Interposers for 3D IC Integration”, IEEE Transactions on CPMT, Vol. 4, No. 9, September 2014, pp. 1407–1419.

    Google Scholar 

  40. Hsieh, M. C., S. T. Wu, C. J. Wu, and J. H. Lau, “Energy Release Rate Estimation for Through Silicon Vias in 3-D Integration”, IEEE Transactions on CPMT, Vol. 4, No. 1, January 2014, pp. 57–65.

    Google Scholar 

  41. Lee, C. C., C. S. Wu,, K. S. Kao, C. W. Fang, C. J. Zhan, J. H. Lau, and T. H. Chen, “Impact of high density TSVs on the assembly of 3D-ICs packaging”, Microelectronic Engineering, Vol. 107, July 2013, pp. 101–106.

    Google Scholar 

  42. Che, F., M. Kawano, M. Ding, Y. Han, and S. Bhattacharya, “Co-design for Low Warpage and High Reliability in Advanced Package with TSV-Free Interposer (TFI)”, Proceedings of IEEE/ECTC, May 2017, pp. 853–861.

    Google Scholar 

  43. Hou, S., W. Chen, C. Hu, C. Chiu, K. Ting, T. Lin, W. Wei, W. Chiou, V. Lin, V. Chang, C. Wang, C. Wu, and D. Yu, “Wafer-Level Integration of an Advanced Logic-Memory System Through the Second-Generation CoWoS Technology”, IEEE Transactions on Electron Devices, October 2017, pp. 4071–4077.

    Google Scholar 

  44. Lau, J. H., and G. Tang, “Thermal Management of 3D IC Integration with TSV (Through Silicon Via)”, IEEE/ECTC Proceedings, May 2009, pp. 635–640.

    Google Scholar 

  45. Lau, J. H., “TSV Manufacturing Yield and Hidden Costs for 3D IC Integration”, IEEE/ECTC Proceedings, May 2010, pp. 1031–1041.

    Google Scholar 

  46. Banijamali, B., S. Ramalingam, K. Nagarajan, and R. Chaware, “Advanced Reliability Study of TSV Interposers and Interconnects for the 28 nm Technology FPGA”, Proceedings of IEEE/ECTC, May 2011, pp. 285–290.

    Google Scholar 

  47. Kim, N., D. Wu, D. Kim, A. Rahman, and P. Wu, “Interposer Design Optimization for High Frequency Signal Transmission in Passive and Active Interposer using Through Silicon Via (TSV)”, IEEE/ECTC Proceedings, May 2011, pp. 1160–1167.

    Google Scholar 

  48. Banijamali, B., S. Ramalingam, N. Kim, C. Wyland, N. Kim, D. Wu, J. Carrel, J. Kim, and Paul Wu, “Ceramics versus low-CTE Organic packaging of TSV Silicon Interposers”, IEEE/ECTC Proceedings, May 2011, pp. 573–576.

    Google Scholar 

  49. Chaware, R., K. Nagarajan, and S. Ramalingam, “Assembly and Reliability Challenges in 3D Integration of 28 nm FPGA Die on a Large High Density 65 nm Passive Interposer”, Proceedings of IEEE/ECTC, May 2012, San Diego, CA, pp. 279–283.

    Google Scholar 

  50. Banijamali, B., S. Ramalingam, H. Liu and M. Kim, “Outstanding and Innovative Reliability Study of 3D TSV Interposer and Fine Pitch Solder Micro-bumps”, Proceedings of IEEE/ECTC, San Diego, CA, May 2012, pp. 309–314.

    Google Scholar 

  51. Kim, N., D. Wu, J. Carrel, J. Kim, and P. Wu, “Channel Design Methodology for 28 Gb/s SerDes FPGA Applications with Stacked Silicon Interconnect Technology”, IEEE/ECTC Proceedings, May 2012, pp. 1786–1793.

    Google Scholar 

  52. Banijamali, B., C. Chiu, C. Hsieh, T. Lin, C. Hu, S. Hou, et al., “Reliability evaluation of a CoWoS-enabled 3D IC package,” IEEE/ECTC Proceedings, May 2013, pp. 35–40.

    Google Scholar 

  53. Hariharan, G., R. Chaware, L. Yip, I. Singh, K. Ng, S. Pai, M. Kim, H. Liu, and S. Ramalingam, “Assembly Process Qualification and Reliability Evaluations for Heterogeneous 2.5D FPGA with HiCTE Ceramic”, IEEE/ECTC Proceedings, May 2013, pp. 904–908.

    Google Scholar 

  54. Kwon, W., M. Kim, J. Chang, S. Ramalingam, L. Madden, G. Tsai, S. Tseng, J. Lai, T. Lu, and S. Chin, “Enabling a Manufacturable 3D Technologies and Ecosystem using 28 nm FPGA with Stack Silicon Interconnect Technology”, IMAPS Proceedings of International Symposium on Microelectronics, Orlando, FL, October 2013, pp. 217–222.

    Google Scholar 

  55. Banijamali, B., T. Lee, H. Liu, S. Ramalingam, I. Barber, J. Chang and M. Kim, and L. Yip, “Reliability Evaluation of an Extreme TSV Interposer and Interconnects for the 20 nm Technology CoWoS IC Package”, IEEE/ECTC Proceedings, May 2015, pp. 276–280.

    Google Scholar 

  56. Hariharan, G., R. Chaware, I. Singh, J. Lin, L. Yip, K. Ng, and S. Pai, “A Comprehensive Reliability Study on a CoWoS 3D IC Package”, IEEE/ECTC Proceedings, May 2015, pp. 573–577.

    Google Scholar 

  57. Chaware, R., G. Hariharan, J. Lin, I. Singh, G. O’Rourke, K. Ng, S. Pai, C. Li, Z. Huang, and S. Cheng, “Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability”, IEEE/ECTC Proceedings, May 2015, pp. 1447–1451.

    Google Scholar 

  58. Xu, J., Y. Niu, S. Cain, S. McCann, H. Lee, G.Ahmed, and S. Park, “The Experimental and Numerical Study of Electromigration in 2.5D Packaging”, IEEE/ECTC Proceedings, May 2018, pp. 483–489.

    Google Scholar 

  59. McCann, S., H. Lee, G. Ahmed, T. Lee, S. Ramalingam, “Warpage and Reliability Challenges for Stacked Silicon Interconnect Technology in Large Packages”, IEEE/ECTC Proceedings, May 2018, pp. 2339–2344.

    Google Scholar 

  60. Wang, H., J. Wang, J. Xu, V. Pham, K. Pan, S. Park, H. Lee, and G. Ahmed, “Product Level Design Optimization for 2.5D Package Pad Cratering Reliability during Drop Impact”, IEEE/ECTC Proceedings, May 2019, pp. 2343–2348.

    Google Scholar 

  61. http://press.xilinx.com/2013–10-20-Xilinx-and-TSMCReach-Volume-Production-on-all-28nm-CoWoS-based-All-Programmable-3D-IC-Families.

  62. Xie, J., H. Shi, Y. Li, Z. Li, A. Rahman, K. Chandrasekar, D. Ratakonda, M. Deo, K. Chanda, V. Hool, M. Lee, N. Vodrahalli, D. Ibbotson, and T. Verma, “Enabling the 2.5D Integration”, Proceedings of IMAPS International Symposium on Microelectronics, September 2012, San Diego, CA, pp. 254–267.

    Google Scholar 

  63. Li, Z., H. Shi, J. Xie, and A. Rahman, “Development of an Optimized Power Delivery System for 3D IC Integration with TSV Silicon Interposer”, Proceedings of IEEE/ECTC, May 2012, pp. 678–682.

    Google Scholar 

  64. https://semiwiki.com/semiconductor-manufacturers/tsmc/290560-highlights-of-the-tsmc-technology-symposium-part-2/.

  65. Chen, W., C. Lin, C. Tsai, H. Hsia, K. Ting, S. Hou, C. Wang, and D. Yu, “Design and Analysis of Logic-HBM2E Power Delivery System on CoWoS® Platform with Deep Trench Capacitor”, IEEE/ECTC Proceedings, May 2020, pp. 380–385.

    Google Scholar 

  66. Bhuvanendran, S., N. Gourikutty, K. Chua, J. Alton, J. Chinq, R. Umralkar, V. Chidambaram1, and S. Bhattacharya, “Non-destructive fault isolation in through-silicon interposer based system in package”, IEEE/EPTC Proceedings, December 2020, pp. 281–285.

    Google Scholar 

  67. Sirbu, B., Y. Eichhammer, H. Oppermann, T. Tekin, J. Kraft, V. Sidorov, X. Yin, J. Bauwelinck, C. Neumeyr, and F. Soares, “3D Silicon Photonics Interposer for Tb/s Optical Interconnects in Data Centers with double-side assembled active components and integrated optical and electrical Through Silicon Via on SOI”, IEEE/ECTC Proceedings, May 2020, pp. 1052–1059.

    Google Scholar 

  68. Tanaka, M., S. Kuramochi, T. Dai, Y. Sato, and N. Kidera, “High Frequency Characteristics of Glass Interposer”, IEEE/ECTC Proceedings, May 2020, pp. 601–610.

    Google Scholar 

  69. Iwai, T., T. Sakai, D. Mizutani, S. Sakuyama, K. Iida, T. Inaba, H. Fujisaki, A. Tamura, and Y. Miyazawa, “Multilayer Glass Substrate with High Density Via Structure for All Inorganic Multi-chip Module”, IEEE/ECTC Proceedings, May 2020, pp. 1952–1957.

    Google Scholar 

  70. Ding, Q., H. Liu, Y. Huan, and J. Jiang, “High Bandwidth Low Power 2.5D Interconnect Modeling and Design”, IEEE/ECTC Proceedings, May 2020, pp. 1832–1837.

    Google Scholar 

  71. Kim, M., H. Liu, D. Klokotov, A. Wong, T. To, and J. Chang, “Performance Improvement for FPGA due to Interposer Metal Insulator Metal Decoupling Capacitors (MIMCAP)”, IEEE/ECTC Proceedings, May 2020, pp. 386–392.

    Google Scholar 

  72. Bhuvanendran, S., N. Gourikutty, Y. Chow, J. Alton, R. Umralkar, H. Bai, K. Chua, and S. Bhattacharya, “Defect Localization in Through-Si-Interposer Based 2.5DICs”, IEEE/ECTC Proceedings, May 2020, pp. 1180–1185.

    Google Scholar 

  73. Hsiao, Y., C. Hsu, Y. Lin, and C. Chien, “Reliability and Benchmark of 2.5D Non-molding and Molding Technologies”, IEEE/ECTC Proceedings, May 2019, pp. 461–466.

    Google Scholar 

  74. Pares, G., J. Michel, E. Deschaseaux, P. Ferris, A. Serhan, and A. Giry, “Highly Compact RF Transceiver Module using High Resistive Silicon Interposer with Embedded Inductors and Heterogeneous Dies Integration”, IEEE/ECTC Proceedings, May 2019, pp. 1279–1286.

    Google Scholar 

  75. Okamoto, D., Y. Shibasaki, D. Shibata, and T. Hanada, F. Liu, M. Kathaperumal, and R. Tummala, “Fabrication and Reliability Demonstration of 3 μm Diameter Photo Vias at 15 μm Pitch in Thin Photosensitive Dielectric Dry Film for 2.5 D Glass Interposer Applications”, IEEE/ECTC Proceedings, May 2019, pp. 2112–2116.

    Google Scholar 

  76. Ravichandran, S., S. Yamada, G. Park, H. Chen, T. Shi, C. Buch, F. Liu, V. Smet, V. Sundaram, and R. Tummala, “2.5D Glass Panel Embedded (GPE) Packages with Better I/O Density, Performance, Cost and Reliability than Current Silicon Interposers and High-Density Fan-Out Packages”, IEEE/ECTC Proceedings, May 2018, pp. 625–630.

    Google Scholar 

  77. Wang, J., Y. Niu, S. Park, A. Yatskov, “Modeling and design of 2.5D package with mitigated warpage and enhanced thermo-mechanical reliability”, IEEE/ECTC Proceedings, May 2018, pp. 2471–2477.

    Google Scholar 

  78. Okamoto, D., Y. Shibasaki, D. Shibata, T. Hanada, F. Liu, V. Sundaram, R. Tummala, “An Advanced Photosensitive Dielectric Material for High-Density RDL with Ultra-Small Photo-Vias and Ultra-Fine Line/Space in 2.5D Interposers and Fan-Out Packages.

    Google Scholar 

  79. Cai1, H., S. Ma, J. Zhang, W. Xiang, W. Wang, Y. Jin, J. Chen, L. Hu, and S. He, “Thermal and Electrical characterization of TSV interposer embedded with Microchannel for 2.5D integration of GaN RF devices”, IEEE/ECTC Proceedings, May 2018, pp. 2150–2156.

    Google Scholar 

  80. Hong, J., K. Choi, D. Oh, S. Shao, H. Wang, Y. Niu, and V. Pham, “Design Guideline of 2.5D Package with Emphasis on Warpage Control and Thermal Management”, IEEE/ECTC Proceedings, May 2018, pp. 682–692.

    Google Scholar 

  81. Nair, C., B. DeProspo, H. Hichri, M. Arendt, F. Liu, V. Sundaram, and R. Tummala, “Reliability Studies of Excimer Laser-Ablated Microvias Below 5 Micron Diameter in Dry Film Polymer Dielectrics for Next Generation, Panel-Scale 2.5D Interposer RDL”, IEEE/ECTC Proceedings, May 2018, pp. 1005–1009.

    Google Scholar 

  82. Lai, C., H. Li, S. Peng, T. Lu, and S. Chen, “Warpage Study of Large 2.5D IC Chip Module”, IEEE/ECTC Proceedings, May 2017, pp. 1263–1268.

    Google Scholar 

  83. Shih, M., C. Hsu, Y. Chang, K. Chen, I. Hu, T. Lee, D. Tarng, and C. Hung, “Warpage Characterization of Glass Interposer Package Development”, IEEE/ECTC Proceedings, May 2017, pp. 1392–1397.

    Google Scholar 

  84. Agrawal, A., S. Huang, G. Gao, L. Wang, J. DeLaCruz, and L. Mirkarimi, “Thermal and Electrical Performance of Direct Bond Interconnect Technology for 2.5D and 3D integrated Circuits”, IEEE/ECTC Proceedings, May 2017, pp. 989–998.

    Google Scholar 

  85. Choi, S., J. Park, D. Jung, J. Kim, H. Kim, K. Kim, “Signal Integrity Analysis of Silicon/Glass/Organic Interposers for 2.5D/3D Interconnects”, IEEE/ECTC Proceedings, May 2017, pp. 2139–2144.

    Google Scholar 

  86. Wang, X., Q. Ren, and M. Kawano, “Yield Improvement of Silicon Trench Isolation for One-Step TSV”, IEEE/EPTC Proceedings, December 2020, pp. 22–26.

    Google Scholar 

  87. Ren, Q., W. Loh, S. Neo, and K. Chui, “Temporary Bonding and De-bonding Process for 2.5D/3D Applications”, IEEE/EPTC Proceedings, December 2020, pp. 27–31.

    Google Scholar 

  88. Chuan, P. and S. Tan, “Glass Substrate Interposer for TSV-integrated Surface Electrode Ion Trap”, pp. 262–265. IEEE/EPTC Proceedings, December 2020, pp. 262–265.

    Google Scholar 

  89. Loh, W. and K. Chui, “Wafer Warpage Evaluation of Through Si Interposer (TSI) with Different Temporary Bonding Materials”, IEEE/EPTC Proceedings, December 2020, pp. 268–272.

    Google Scholar 

  90. Lau, J. H., Heterogeneous Integrations, Springer, New York, 2019.

    Google Scholar 

  91. Lau, J. H., Fan-Out Wafer-Level Packaging, Springer, New York, 2018.

    Google Scholar 

  92. Lau, J. H., 3D IC Integration and Packaging, McGraw-Hill, New York, 2016.

    Google Scholar 

  93. Lau, J. H., Through-Silicon Via (TSV) for 3D Integration, McGraw-Hill, New York, 2013.

    Google Scholar 

  94. Lau, J. H., Reliability of RoHS compliant 2D & 3D IC Interconnects, McGraw-Hill, New York, 2011.

    Google Scholar 

  95. Lau, J. H., “Overview and Outlook of 3D IC Packaging, 3D IC Integration, and 3D Si Integration”, ASME Transactions, Journal of Electronic Packaging, December 2014, Vol. 136, Issue 4, pp. 1–15.

    Google Scholar 

  96. Lau, J. H., “Overview and Outlook of TSV and 3D Integrations”, Journal of Microelectronics International, Vol. 28, No. 2, 2011, pp. 8–22.

    Google Scholar 

  97. Lau, J. H., “Critical Issues of 3D IC Integrations”, IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, First Quarter Issue, 2010, pp. 35–43.

    Google Scholar 

  98. Lau, J. H., “Design and Process of 3D MEMS Packaging”, IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, First Quarter Issue, 2010, pp. 10–15.

    Google Scholar 

  99. Lau, J. H., Lee, R., Yuen, M., and Chan, P., “3D LED and IC Wafer Level Packaging”, Journal of Microelectronics International, Vol. 27, Issue 2, 2010, pp. 98–105.

    Google Scholar 

  100. Lau, J. H., “3D IC Integration with a Passive Interposer”, Proceedings of SMTA International Conference, Chicago, IL, September 2014, pp. 11–19.

    Google Scholar 

  101. Lau, J. H., “The Role and Future of 2.5D IC Integration”, IPC APEX EXPO Proceedings, Las Vegas, NE, March 2014, pp. 1–14.

    Google Scholar 

  102. Chen, J., J. H. Lau, T. Hsu, C. Chen, P. Tzeng, P. Chang, C. Chien, Y. Chang, S. Chen, Y. Hsin, S. Liao, C. Lin, T. Ku, and M. Kao, “Challenges of Cu CMP of TSVs and RDLs Fabricated from the Backside of a Thin Wafer”, IEEE International 3D Systems Integration Conference, San Francisco, CA, October 2013, pp. 1–5.

    Google Scholar 

  103. Lau, J. H., H. C. Chien, S. T. Wu, Y. L. Chao, W. C. Lo, and M. J. Kao, “Thin-Wafer Handling with a Heat-Spreader Wafer for 2.5D/3D IC Integration”, Proceedings of the 46th IMAPS International Symposium on Microelectronics, Orlando, FL, October 2013, pp. 389–396.

    Google Scholar 

  104. Hung, J. F., J. H. Lau, P. Chen, S. Wu, S. Hung, S. Lai, M. Li, S. Sheu, Z. Lin, C. Lin, W. Lo, and M. Kao, “Electrical Performance of Through-Silicon Vias (TSVs) for High-Frequency 3D IC Integration Applications”, Proceedings of the 45th IMAPS International Symposium on Microelectronics, September 2012, pp. 1221–1228.

    Google Scholar 

  105. Lau, J. H., “Supply Chains for 3D IC Integration Manufacturing”, Proceedings of IEEE Electronic Materials and Packaging Conference, December 2012, pp. 72–78.

    Google Scholar 

  106. Lau, J. H., S. T. Wu, and H. C. Chien, “Thermal-Mechanical Responses of 3D IC Integration with a Passive TSV Interposer”, IEEE EuroSime Proceedings, Chapter 5: Reliability Modeling, Lisbon, Portugal, April 2012, pp. 1/8 – 8/8.

    Google Scholar 

  107. Lau, J. H., “The Most Cost-Effective Integrator (TSV Interposer) for 3D IC Integration System-in-Package (SiP)”, ASME Paper no. InterPACK2011–52189, Portland, OR, July 2011.

    Google Scholar 

  108. Lau, J. H., and X. Zhang, “Effects of TSV Interposer on the Reliability of 3D IC Integration SiP”, ASME Paper no. InterPACK2011-52205, Portland, OR, July 2011.

    Google Scholar 

  109. Lau, J. H., “State-of-the-art and Trends in Through-Silicon Via (TSV) and 3D Integrations, ASME Paper no. IMECE2010-37783.

    Google Scholar 

  110. Lau, J. H., Y. S. Chan, S. W. R. Lee, “Thermal-Enhanced and Cost-Effective 3D IC Integration with TSV Interposers for High-Performnance Applications”, ASME Paper no. IMECE2010-40975.

    Google Scholar 

  111. Lau, J. H., “Evolution and Outlook of TSV and 3D IC/Si Integration” IEEE/EPTC Proceedings, Singapore, December 2010, pp. 560–570.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to John H. Lau .

Rights and permissions

Reprints and permissions

Copyright information

© 2021 The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Lau, J.H. (2021). 2.5D IC Integration. In: Semiconductor Advanced Packaging. Springer, Singapore. https://doi.org/10.1007/978-981-16-1376-0_6

Download citation

  • DOI: https://doi.org/10.1007/978-981-16-1376-0_6

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-16-1375-3

  • Online ISBN: 978-981-16-1376-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics