Skip to main content

Fan-In Wafer/Panel-Level Chip-Scale Packages

  • Chapter
  • First Online:
Semiconductor Advanced Packaging
  • 5150 Accesses

Abstract

First of all, as the name “fan-in wafer/panel-level chip-scale packages” indicates that packages are fabricated on a wafer or panel.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 179.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Elenius, P., and H. Hollack, “Method for forming chip scale package,” US patent 6,287,893, filed on July 13, 1998; patented on September 11, 2001.

    Google Scholar 

  2. Yasunaga, M., “Chip-scale package: a lightly dressed LSI chip,” Proc. of IEEE/CPMT IEMTS, 1994, pp. 169–176.

    Google Scholar 

  3. Marcoux, P., “A minimal packaging solution for known good die and direct chip attachment,” Proc. Of SMTC, 1994, pp. 19–26.

    Google Scholar 

  4. Chanchani, R., “A new mini ball grid array (m-BGA) multichip module technology,” Proc. Of NEPCON West, 1995, pp. 938–945.

    Google Scholar 

  5. Badihi, A., “Shellcase—a true miniature integrated circuit package,” Proc. of International FC, BGA, Adv. Packaging Symp., 1995, pp. 244–252.

    Google Scholar 

  6. Baba, S., et al., “Molded chip-scale package for high pin count,” Proc. of IEEE/ECTC, 1996, 1251–1257.

    Google Scholar 

  7. Topper, M., “Redistribution technology for chip scale package using photosensitive BCB,” Future Fab International, 1996, pp. 363–368.

    Google Scholar 

  8. Elenius, P., “FC2SP-(Flip Chip-Chip Size Package),”Proc. of NEPCON West, 1997, pp. 1524–1527.

    Google Scholar 

  9. Auersperg, J., “Reliability evaluation of chip-scale packages by FEA and microDAC,” Proc. of Symp. On Design and Reliability of Solder and Solder Interconnections, TMS Annual Meeting, 1997, pp. 439–445.

    Google Scholar 

  10. DiStefano, T., “Wafer-level fabrication of IC packages,” Chip Scale Review, 1997, pp. 20–27.

    Google Scholar 

  11. Kohl, J. E., “Low-cost chip scale packaging and interconnect technology,” Proc. of the CSP Symp., 1997, pp. 37–43.

    Google Scholar 

  12. Elenius, P., “Flip-chip bumping for IC packaging contractors,” Proc. of NEPCON West, 1998, pp. 1403–1407.

    Google Scholar 

  13. Lau, J. H., and S. W. R. Lee, Chip Scale Package, McGraw-Hill Book Company, New York, 1999.

    Google Scholar 

  14. Lau, J. H., T. Chung, R. Lee, C. Chang, and C. Chen, “A Novel and Reliable Wafer-Level Chip Scale Package (WLCSP)”, Proceedings of the Chip Scale International Conference, SEMI, September 1999, pp. H1–8.

    Google Scholar 

  15. Lau, J. H., S. W. R. Lee, and C. Chang, “Solder Joint Reliability of Wafer Level Chip Scale Packages (WLCSP): A Time-Temperature-Dependent Creep Analysis”, ASME Transactions, Journal of Electronic Packaging, Vol. 122, No. 4, May 2000, pp. 311–316.

    Google Scholar 

  16. Lau, J. H., “Critical Issues of Wafer Level Chip Scale Package (WLCSP) with Emphasis on Cost Analysis and Solder Joint Reliability”, IEEE Transactions on Electronics Packaging Manufacturing, Vol. 25, No. 1, 2002, pp. 42–50.

    Google Scholar 

  17. Lau, J. H., and R. Lee, “Effects of Build-Up Printed Circuit Board Thickness on the Solder Joint Reliability of a Wafer Level Chip Scale Package (WLCSP),” IEEE Transactions on Components & Packaging Technologies, Vol. 25, No. 1, March 2002, pp. 3–14.

    Google Scholar 

  18. Lau, J. H., S. Pan, and C. Chang, “A New Thermal-Fatigue Life Prediction Model for Wafer Level Chip Scale Package (WLCSP) Solder Joints”, ASME Transactions, Journal of Electronic Packaging, Vol. 124, September 2002, pp. 212–220.

    Google Scholar 

  19. Lau, J. H., and R. Lee, “Modeling and Analysis of 96.5Sn-3.5Ag Lead-Free Solder Joints of Wafer Level Chip Scale Package (WLCSP) on Build-Up Microvia Printed Circuit Board,” IEEE Transactions on Electronics Packaging Manufacturing, Vol. 25, No. 1, 2002, pp. 51–58.

    Google Scholar 

  20. Lau, J. H., R. Lee, S. Pan, and C. Chang, “Nonlinear Time-Dependent Analysis of Micro Via-in-Pad Substrates for Solder Bumped Flip Chip Applications,” ASME Transactions, Journal of Electronic Packaging, Vol. 124, September 2002, pp. 205–211.

    Google Scholar 

  21. Lau, J. H., C. Chang, and R. Lee, “Solder Joint Crack Propagation Analysis of Wafer-Level Chip Scale Package on Printed Circuit Board Assemblies,” IEEE Transactions on Components & Packaging Technologies, Vol. 24, No. 2, 2001, pp. 285–292.

    Google Scholar 

  22. Lau, J. H., and R. Lee, “Computational Analysis on the Effects of Double-Layer Build-Up Printed Circuit Board on the Wafer Level Chip Scale Package (WLCSP) Assembly with Pb-Free Solder Joints,” International Journal of Microcircuits & Electronic Packaging, IMAPS Transactions, Vol. 24, No. 2, 2001, pp. 89–104.

    Google Scholar 

  23. Lau, J. H., and R. Lee, “Effects of Microvia Build-Up Layers on the Solder Joint Reliability of a Wafer Level Chip Scale Package (WLCSP),” IEEE Proceedings of Electronic Components & Technology Conference, May 29-June 1, Orlando, Florida, U.S.A., 2001, pp. 1207–1215.

    Google Scholar 

  24. Lau, J. H., and R. Lee, “Reliability of 96.5Sn-3.5Ag Lead-Free Solder-Bumped Wafer Level Chip Scale Package (WLCSP) on Build-Up Microvia Printed Circuit Board,” Proceedings of the 2nd International Conference on High Density Interconnect and System Packaging, April 17–20, Santa Clara, California, U.S.A., 2001, pp. 314-322.

    Google Scholar 

  25. Lau, J. H., and R. Lee, “Effects of Build-Up Printed Circuit Board Thickness on the Solder Joint Reliability of a Wafer Level Chip Scale Package (WLCSP),” Proceeding of the International Symposium on Electronic Materials & Packaging, November 30-December 2, Kowloon, Hong Kong, 2000, pp. 115–126.

    Google Scholar 

  26. Lau, J. H., S. Pan, and C. Chang, “Nonlinear Fracture Mechanics Analysis of Wafer-Level Chip Scale Package Solder Joints with Creaks”, Proceedings of IMAPS Microelectronics Conference, Boston, MA, September 2000, pp. 857–865.

    Google Scholar 

  27. Lau, J. H., and R. Lee, “Reliability of Wafer Level Chip Scale Package (WLCSP) with 96.5Sn-3.5Ag Lead-Free Solder Joints on Build-Up Microvia Printed Circuit Board,” Proceeding of the International Symposium on Electronic Materials & Packaging, November 30-December 2, Kowloon, Hong Kong, 2000, pp. 55–63.

    Google Scholar 

  28. Lau, J. H., S. Pan, and C. Chang, “A New Thermal-Fatigue Life Prediction Model for Wafer Level Chip Scale Package (WLCSP) Solder Joints”, Proceeding of the 12th Symposium on Mechanics of SMT & Photonic Structures, ASME International Mechanical Engineering Congress & Exposition, November 5–10, Orlando, Florida, USA, 2000, pp. 91-101.

    Google Scholar 

  29. Lau, J. H., S. Pan, and C. Chang, “Creep Analysis of Wafer Level Chip Scale Packages (WLCSP) with 96.5Sn-3.5Ag and 100In Lead-Free Solder Joints and Microvia Build-Up Printed Circuit Board”, Proceeding of the 12th Symposium on Mechanics of SMT & Photonic Structures, ASME International Mechanical Engineering Congress & Exposition, November 5–10, Orlando, Florida, USA, 2000, pp. 79-89.

    Google Scholar 

  30. Lau, J. H., C. Chang, and R. Lee, “Solder Joint Crack Propagation Analysis of Wafer-Level Chip Scale Package on Printed Circuit Board Assemblies,” IEEE Proceeding of the 50th Electronic Components & Technology Conference, Las Vegas, NA, 2000, pp. 1360–1368.

    Google Scholar 

  31. Lau, J. H. and R. Lee, “Fracture Mechanics Analysis of Low Cost Solder Bumped Flip Chip Assemblies with Imperfect Underfills,” Proceedings of NEPCON West, Anaheim, CA, 2000, pp. 653–660.

    Google Scholar 

  32. Lau, J. H., T. Chung, T., R. Lee, and C. Chang, “A Low Cost and Reliable Wafer Level Chip Scale Package,” Proceedings of. NEPCON West, Anaheim, CA, 2000, pp. 920–927.

    Google Scholar 

  33. Lau, J. H., Lee, S.W.R., Ouyang, C., Chang, C. and Chen, C.C., “Solder Joint Reliability of Wafer Level Chip Scale Packages (WLCSP): A Time-Temperature-Dependent Creep Analysis,” ASME Winter Annual Meeting, ASME Paper No. 99-IMECE/EEP-5, Nashville, TN, 1999.

    Google Scholar 

  34. Lau, J. H., C. Ouyang, and R. Lee, “A Novel and Reliable Wafer-Level Chip Scale Package (WLCSP)”, Proceedings of Chip Scale International Conference, San Jose, CA, September 1999, pp. H1-H9.

    Google Scholar 

  35. Chen, C., K. H. Chen, Y. S. Wu, P. H. Tsao and S. T. Leu, “WLCSP Solder Ball Interconnection Enhancement for High Temperature Stress Reliability”, IEEE/ECTC Proceedings, May 2020, pp. 1212–1217.

    Google Scholar 

  36. Zhang, H., Z. Wu, J. Malinowski, M. Carino, K. Young-Fisher, J. Trewhella, and P. Justison, “45RFSOI WLCSP Board Level Package Risk Assessment and Solder Joint Reliability Performance Improvement”, IEEE/ECTC Proceedings, May 2020, pp. 2151–2156.

    Google Scholar 

  37. Ma, S., Y. Liu, F. Zheng, F. Li, D. Yu, A. Xiao, and X. Yang, “Development and Reliability study of 3D WLCSP for automotive CMOS image sensor using TSV technology”, IEEE/ECTC Proceedings, May 2020, pp. 461–466.

    Google Scholar 

  38. Machani, K., F. Kuechenmeister, D. Breuer, C. Klewer, J. Cho, and K. Fisher, “Chip Package Interaction (CPI) risk assessment of 22FDX® Wafer Level Chip Scale Package (WLCSP) using 2D Finite Element Analysis modeling”, IEEE/ECTC Proceedings, May 2020, pp. 1100–1105.

    Google Scholar 

  39. Chiu, J., K.C. Chang, S. Hsu, P. Tsao and M. J. Lii, “WLCSP Package and PCB Design for Board Level Reliability”, IEEE/ECTC Proceedings, May 2019, pp. 763–767.

    Google Scholar 

  40. Yu, D., Y. Zou, X. Xu, A. Shi, X. Yang, and Z. Xiao, “Development of 3D WLCSP with Black Shielding for Optical Finger Print Sensor for the Application of Full Screen Smart Phone”, IEEE/ECTC Proceedings, May 2019, pp. 884–889.

    Google Scholar 

  41. Zhou, Y. , L. Chen, Y. Liu, and S. Sitaraman, “Thermal Cycling Simulation and Sensitivity Analysis of Wafer Level Chip Scale Package with Integration of Metal-Insulator-Metal Capacitors”, IEEE/ECTC Proceedings, May 2019, pp. 1521–1528.

    Google Scholar 

  42. Chou, P., H. Hsiao, and K. Chiang, “Failure Life Prediction of Wafer Level Packaging using DoS with AI Technology”, IEEE/ECTC Proceedings, May 2019, pp. 1515–1520.

    Google Scholar 

  43. Chen, Z., B. Lau, Z. Ding, E. Leong, C. Wai, B. Han, L. Bu, H. Chang, and T. Chai, “Development of WLCSP for Accelerometer Packaging with Vertical CuPd Wire as Through Mold Interconnection (TMI)”, IEEE/ECTC Proceedings, May 2018, pp. 1188–1193.

    Google Scholar 

  44. Tsao, P. H., T. H. Lu, T. M. Chen, K. C. Chang, C. M. Kuo, M. J. Lii and L. H. Chu, “Board Level Reliability Enhancement of WLCSP with Large Chip Size”, IEEE/ECTC Proceedings, May 2018, pp. 120–1205.

    Google Scholar 

  45. Ramachandran, V., K. C. Wu, C. C. Lee, and K. N. Chiang, “Reliability Life Assessment of WLCSP Using Different Creep Models”, IEEE/ECTC Proceedings, May 2018, pp. 1017–1022.

    Google Scholar 

  46. Sheikh, M., A. Hsiao, W. Xie, S. Perng, E. Ibe, K. Loh, and T. Lee, “Multi-axis loading impact on thermo-mechanical stress-induced damage on WLCSP and components with via-in pad plated over (VIPPO) board design configuration”, IEEE/ECTC Proceedings, May 2018, pp. 911–915.

    Google Scholar 

  47. Tsao, P. H., T. M. Chen, Y. L. Kuo, C. M. Kuo, S. Hsu, M. J. Lii, and L. H. Chu, “Investigation of Production Quality and Reliability Risk of ELK Wafer WLCSP Package”, IEEE/ECTC Proceedings, May 2017, pp. 371–375.

    Google Scholar 

  48. Lin, W., Q. Pham, B. Baloglu, and M. Johnson, “SACQ Solder Board Level Reliability Evaluation and Life Prediction Model for Wafer Level Packages”, IEEE/ECTC Proceedings, May 2017, pp. 1058–1064.

    Google Scholar 

  49. Yang, S., C. Chen, W. Huang, T. Yang, G. Huang, T. Chou, C. Hsu, C. Chang, H. Huang, C. Chou, C.. Ku, C. Chen, C. Chen, K. Liu, A. Kalnitsky, and M. Liao, “Implementation of Thick Copper Inductor Integrated into Chip Scaled Package”, IEEE/ECTC Proceedings, May 2017, pp. 306–311.

    Google Scholar 

  50. Lee, T., Y. Chang, C. Hsu, S. Hsieh, P. Lee, Y. Hsieh, L. Wang, and L. Zhang, “Glass Based 3D-IPD Integrated RF ASIC in WLCSP”, IEEE/ECTC Proceedings, May 2017, pp. 631–636.

    Google Scholar 

  51. Hsu, M., K. Chiang, C. Lee, “A Modified Acceleration Factor Empirical Equation for BGA Type Package”, IEEE/ECTC Proceedings, May 2017, pp. 1020–1026.

    Google Scholar 

  52. Jalink, J., R. Roucou, J. Zaa, J. Lesventes, R. Rongen, “Effect of PCB and Package Type on Board Level Vibration using Vibrational Spectrum Analysis”, IEEE/ECTC Proceedings, May 2017, pp. 470–475.

    Google Scholar 

  53. Xu, J., Z. Ding, V. Chidambaram, H. Ji, and Y. Gu, “High Vacuum and High Robustness Al-Ge Bonding for Wafer Level Chip Scale Packaging of MEMS Sensors”, IEEE/ECTC Proceedings, May 2017, pp. 956–960.

    Google Scholar 

  54. Max K., C. Wu, C. Liu, and D. Yu, “UFI (UBM-Free Integration) Fan-In WLCSP Technology Enables Large Die Fine Pitch Packages”, IEEE/ECTC Proceedings, May 2017, pp. 1154–1159.

    Google Scholar 

  55. Takyu, S., Y. Fumita, D. Yamamoto, S. Yamashita, K. Furuta, Y. Yamashita, K. Tanaka, N. Uchiyama, T. Ogiwara, and Y. Kondo, “A Novel Dicing Technologies for WLCSP Using Stealth Dicing through Dicing Tape and Back Side Protection-Film”, IEEE/ECTC Proceedings, May 2016, pp. 1241–1246.

    Google Scholar 

  56. Lin, Y., E. Chong, M. Chan, K. Lim, and S. Yoon, “WLCSP + and eWLCSP in FlexLine: Innovative Wafer Level Package Manufacturing”, IEEE/ECTC Proceedings, May 2015, pp. 865–870.

    Google Scholar 

  57. Chen, J. H., Y. L. Kuo, P. H. Tsao, J. Tseng, M. Chen, T. M. Chen, Y. T. Lin, and A. Xu, “Investigation of WLCSP Corrosion Induced Reliability Failure on Halogens Environment for Wearable Electronics”, IEEE/ECTC, May 2015, pp. 1599–1603.

    Google Scholar 

  58. Chatinho, V., A. Cardoso, J. Campos, and J. Geraldes, “Development of Very Large Fan-In WLP/ WLCSP for Volume Production”, IEEE/ECTC, May 2015, pp. 1096–1101.

    Google Scholar 

  59. Nomura, H., K. Tachibana, S. Yoshikawa, D. Daily, and A. Kawa, “WLCSP CTE Failure Mitigation via Solder Sphere Alloy”, IEEE/ECTC, May 2015, pp. 1257–1261.

    Google Scholar 

  60. Yang, S., C. Wu, Y. Hsiao, C. Tung, D. Yu, “A Flexible Interconnect Technology Demonstrated on a Wafer-Level Chip Scale Package”, IEEE/ECTC, May 2015, pp. 859–864.

    Google Scholar 

  61. Yang, S., B. Tsai, C. Lin, E. Yen, J. Lee, W. Hsieh, and V. Wu, “Advanced Multi-sites Testing Methodology after Wafer Singulation for WLPs Process”, IEEE/ECTC, May 2015, pp. 871–876.

    Google Scholar 

  62. Keser, B., R. Alvarado, M. Schwarz, and S. Bezuk, “0.35 mm Pitch Wafer Level Package Board Level Reliability: Studying Effect of Ball De-population with Varying Ball Size”, IEEE/ECTC, May 2015, pp. 1090–1095.

    Google Scholar 

  63. Arumugam, N., G. Hill, G. Clark, C. Arft, C. Grosjean, R. Palwai, J. Pedicord, P. Hagelin, A. Partridge, V. Menon, and P. Gupta, “2-die Wafer-level Chip Scale Packaging enables the smallest TCXO for Mobile and Wearable Applications”, IEEE/ECTC, May 2015, pp. 1338–1342.

    Google Scholar 

  64. Liu, Y., Y. Liu, and S. Qu, “Bump Geometric Deviation on the Reliability of BOR WLCSP”, IEEE/ECTC Proceedings, May 2014, pp. 808–814.

    Google Scholar 

  65. Anzai, N., M. Fujita, and A. Fujii, “Drop Test and TCT Reliability of Buffer Coating Material for WLCSP”, IEEE/ECTC Proceedings, May 2014, pp. 829–835.

    Google Scholar 

  66. Cui, T., A. Syed, B. Keser, R. Alvarado, S. Xu, and M. Schwarz, “Interconnect Reliability Prediction for Wafer Level Packages (WLP) for Temperature Cycle and Drop Load Conditions”, IEEE/ECTC Proceedings, May 2014, pp. 100–107.

    Google Scholar 

  67. Keser, B., R. Alvarado, A. Choi, M. Schwarz, and S. Bezuk, “Board Level Reliability and Surface Mount Assembly of 0.35 mm and 0.3 mm Pitch Wafer Level Packages”, IEEE/ECTC Proceedings, May 2014, pp. 925–930.

    Google Scholar 

  68. Xiao, Z., J. Fan, Y. Ren, Y. Li, X. Huang, D. Yu, W. Zhang, “Development of 3D Thin WLCSP Using Vertical Via Last TSV Technology with Various Temporary Bonding Materials and Low Temperature PECVD Process”, IEEE/ECTC Proceedings, May 2017, pp. 302–309.

    Google Scholar 

  69. Zoschke, K., M. Klein, R. Gruenwald, C. Schoenbein, And K. Lang, “LiTaO3 Capping Technology for Wafer Level Chip Size Packaging of SAW Filters”, IEEE/ECTC Proceedings, May 2017, pp. 889–896.

    Google Scholar 

  70. Kuo, F., J. Chiang, K. Chang, J. Shu, F. Chien, K. Wang and R. Lee, “Studying The Effect Of Stackup Structure Of Large Die Size Fan-in Wafer Level Package At 0.35 mm Pitch With Varying Ball Alloy To Enhance Board Level Reliability Performance”, IEEE/ECTC Proceedings, May 2017, pp. 140–146.

    Google Scholar 

  71. Tsou, C., T. Chang, K. Wu, P. Wu and K. Chiang, “Reliability Assessment using Modified energy based model for WLCSP Solder Joints”, IEEE/ICEP2017, Yamagata, Japan, April 2017.

    Google Scholar 

  72. Rogers, B., and C. Scanlan, “Improving WLCSP Reliability Through Solder Joint Geometry Optimization” International Symposium on Microelectronics, October 2013, pp. 546–550,

    Google Scholar 

  73. Hsieh, M. C., “Modeling correlation for solder joint fatigue life estimation in wafer-level chip scale packages”, International Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT), Oct. 2015, pp. 65–68.

    Google Scholar 

  74. Hsieh, M. C., and S. L. Tzeng, “Solder joint fatigue life prediction in large size and low cost wafer-level chip scale packages,” IEEE Electronic Packaging Technology (ICEPT), November 2015, pp. 496–501.

    Google Scholar 

  75. Liu, Y. M., and Y. Liu, “Prediction of board-level performance of WLCSP,” IEEE/ECTC Proceedings, June 2013, pp. 840–845.

    Google Scholar 

  76. Liu, Y., Q. Qian, M. Ring, J. Kim, and D. Kinzer, “Modeling for Critical Design of Wafer Level Chip Scale Package,” IEEE/ECTC Proceedings, June 2012, pp. 959–964.

    Google Scholar 

  77. Chan, Y.., S. Lee, F. Song, J. Lo, and T. Jiang, “Effect of UBM and BCB layers on the thermomechanical reliability of wafer level chip scale package (WLCSP),” Proc. Microsystems, Packaging, Assembly and Circuits Technology Conf. (IMPACT), 2009, pp. 407–412.

    Google Scholar 

  78. Tee, T., L. Tan, R. Anderson, H. Ng, J. Low, C. Khoo, R. Moody, and B. Rogers “Advanced Analysis of WLCSP Copper Interconnect Reliability under Board Level Drop Test,” IEEE/ECTC Proceedings, May 2008, pp. 1086–1095.

    Google Scholar 

  79. Fan, X., and Q. Han, “Design and Reliability in Wafer Level Packaging,” IEEE/ECTC Proceedings, May 2008, pp. 834–841.

    Google Scholar 

  80. Jung, B. Y., et al., “MEMS WLCSP development using vertical interconnection,” Electronics Packaging Technology Conference (EPTC), IEEE 18th, December 2016, pp. 455–458.

    Google Scholar 

  81. Ding, M., B. Lau, and Z. Chen, “Molding process development for low-cost MEMS-WLCSP with silicon pillars and Cu wires as vertical interconnections,” Electronics Packaging Technology Conference (EPTC), IEEE 19th, 2017.

    Google Scholar 

  82. Zeng, K., and A. Nangia, “Thermal cycling reliability of SnAgCu solder joints in WLCSP,” Proc. 2014 IEEE 16th Electronics Packaging Technology Conference, December 2014, pp. 503–511.

    Google Scholar 

  83. Peng Sun, “Package & board level reliability study of 0.35 mm fine pitch wafer level package,” Proc. 2017 18th International Conference on Electronic Packaging Technology, pp. 322–326.

    Google Scholar 

  84. Yeung, T., “Material characterization of a novel lead-free solder material – SACQ,” IEEE/ECTC Proceedings, May 2014, pp. 518–522.

    Google Scholar 

  85. Lau, J. H., C. Ko, T. Tseng, K. Yang, C. Peng, T. Xia, P. Lin, E. Lin, L. Chang, H. Liu, and D. Cheng, “Fan-In Panel-Level with Multiple Diced Wafers Packaging”, IEEE/ECTC Proceedings, May 2020, pp. 1146–1153.

    Google Scholar 

  86. Lau, J. H., C. Ko, T. Tseng, K. Yang, C. Peng, T. Xia, P. Lin, E. Lin, L. Chang, H. Liu, and D. Cheng, “Panel-Level Chip-Scale Package with Multiple Diced Wafers”, IEEE Transactions on CPMT, Vol. 10, No. 7, July 2020, pp. 1110–1124.

    Google Scholar 

  87. Lin, Y., P. Marimuthu, K. Chen, H. Goh, Y. Gu, I. Shim, R. Huang, S. Chow, J. Fang, and X. Feng, “Semiconductor Device and Method of Forming Insulating layer Disposed Over the Semiconductor Die for Stress Relief”, US Patent 8,456,002B2, filling date: December 21, 2011.

    Google Scholar 

  88. Strothmann, T., S. Yoon, and Y. Lin, “Encapsulated Wafer Level Package Technology (eWLCSP)”, Proceedings of IEEE/ECTC, May 2014, pp. 931–934.

    Google Scholar 

  89. Lin, Y., K. Chen, K. Heng, L. Chua, and S. Yoon, “Encapsulated Wafer Level Chip Scale Package (eWLCSP™) for Cost Effective and Robust Solutions in FlexLine™”, Proceeding of IEEE/IMPACT, September 2014, pp. 316–319.

    Google Scholar 

  90. Lin, Y., K. Chen, K. Heng, L. Chua and S. Yoon, “Challenges and Improvement of Reliability in Advanced Wafer Level Packaging Technology”, Proceedings of IEEE 23rd International Symposium on the Physical and Failure Analysis (IPFA), Singapore, July 2016, pp. 47–50.

    Google Scholar 

  91. Smith, L., and J. Dimaano Jr., “Development Approach & Process Optimization for Sidewall WLCSP Protection”, Proceedings of IWLPC, October 2015, pp. 1–4.

    Google Scholar 

  92. Tang, T., A. Lan, J. Wu, J. Huang, J. Tsai, J. Li, A. Ho, J. Chang, W. Lin, “Challenges of Ultra-thin 5 Sides Molded WLCSP”, Proceedings of IEEE/ECTC, May 2016, pp. 1167–1771.

    Google Scholar 

  93. Ma, S., T. Wang, Z. Xiao, D. Yu, “Process development of five-and six-side molded WLCSP”, Proceedings of China Semiconductor Technology International Conference (CSTIC), March 2018, pp. 1–3.

    Google Scholar 

  94. Zhao, S., F. Qin, M. Yang, M. Xiang, and D. Yu, “Study on warpage evolution for six-side molded WLCSP based on finite element analysis”, Proceeding of the International Conference on Electronic Packaging Technology (ICEPT), August 2019, pp. 1–4.

    Google Scholar 

  95. Qin, F., S. Zhao, Y. Dai, M. Yang, M. Xiang, and D. Yu, “Study of Warpage Evolution and Control for Six-Side Molded WLCSP in Different Packaging Processes”, IEEE Transactions on CPMT, Vol. 10, No. 4, April 2020, pp. 730–738.

    Google Scholar 

  96. Chi, Y., C. Lai, C. Kuo, J. Huang, C. Chung, Y. Jiang, H. Chang, N. Liu, and B. Lin, Board Level Reliability Study of WLCSP with 5-Sided and 6-Sided Protection”, Proceedings of IEEE/ECTC, May 2020, pp. 807–810.

    Google Scholar 

  97. Lau, J. H., C. Ko, T. Tseng, T. Peng, K. Yang, C. Xia, P. Lin, E. Lin, L.N. Liu, C. Lin, D. Cheng, and W. Lu, “Six-Side Molded Panel-Level Chip-Scale Package with Multiple Diced Wafers”, IMAPS Proceedings, October 2020, pp. 1–10.

    Google Scholar 

  98. Lau, J. H., C. Ko, T. Tseng, T. Peng, K. Yang, C. Xia, P. Lin, E. Lin, L.N. Liu, C. Lin, D. Cheng, and W. Lu, “Six-Side Molded Panel-Level Chip-Scale Package with Multiple Diced Wafers”, IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, Vol. 17, December 2020, pp. 111–120.

    Google Scholar 

  99. Lau, J. H., and N. C. Lee, Assembly and Reliability of Lead-Free Solder Joints, Springer, New York, 2020.

    Google Scholar 

  100. Lau, J. H., “Recent Advances and Trends in Fan-Out Wafer/Panel-Level Packaging”, ASME Transactions, Journal of Electronic Packaging, V. 141, December 2019, pp. 1–27.

    Google Scholar 

  101. Borkulo, J., E. Tan, and R. Stam, “Laser Multi Beam Full Cut Dicing of Dicing of Wafer Level Chip-Scale Packages”, Proceedings of IEEE/ECTC, May 2017, pp. 338–342.

    Google Scholar 

  102. Borkulo, J, and R. Stam, “Laser-Based Full Cut Dicing Evaluations for Thin Si wafers”, Proceedings of IEEE/ECTC, May 2018, pp. 1945–1949.

    Google Scholar 

  103. Borkulo, J., R. Evertsen, R. Stam, “A More than Moore Enabling Wafer Dicing Technology”, IEEE/ECTC Proceedings, May 2019, pp. 423–427.

    Google Scholar 

  104. Qu, S., J. Kim, G. Marcus, M. Ring, “3D Power Module with Embedded WLCSP”, IEEE/ECTC Proceedings, May 2013, pp. 1230–1234.

    Google Scholar 

  105. Syed, A., K. Dhandapani, C. Berry, R. Moody, and R. Whiting, “Electromigration Reliability and Current Carrying Capacity of various WLCSP Interconnect Structures”, IEEE/ECTC Proceedings, May 2013, pp. 714–724.

    Google Scholar 

  106. Arfaei1, B., S. Mahin-Shirazi, S. Joshi, M. Anselm1, P. Borgesen, E. Cotts, J. Wilcox, and R. Coyle, “ Reliability and Failure Mechanism of Solder Joints in Thermal Cycling Tests”, IEEE/ECTC Proceedings, May 2013, pp. 976–985.

    Google Scholar 

  107. Yang, S., C. Wu, D. Shih, C. Tung, C. Wei, Y. Hsiao, Y. Huang, and D. Yu, “Optimization of Solder Height and Shape to Improve the Thermo-mechanical Reliability of Wafer-Level Chip Scale Packages”, IEEE/ECTC Proceedings, May 2013, pp. 1210–1218.

    Google Scholar 

  108. Hau-Riege, C., B. Keser, Y. Yau, S. Bezuk, “Electromigration of Solder Balls for Wafer-Level Packaging with Different Under Bump Metallurgy and Redistribution Layer Thickness”, IEEE/ECTC Proceedings, May 2013, pp. 707–713.

    Google Scholar 

  109. Lai, Y., C. Kao, Y. Chiu, and B. Appelt, “Electromigration Reliability of Redistribution Lines in Wafer-level Chip-Scale Packages”, IEEE/ECTC Proceedings, May 2011, pp. 326–331.

    Google Scholar 

  110. Darveaux, R., S. Enayet, C. Reichman, C. Berry, and N. Zafar, “Crack Initiation and Growth in WLCSP Solder Joints”, IEEE/ECTC Proceedings, May 2011, pp. 940–953.

    Google Scholar 

  111. Yadav, P., S. Kalchuri, B. Keser, R. Zang, M. Schwarz, and B. Stone, “Reliability Evaluation on Low k Wafer Level Packages”, IEEE/ECTC Proceedings, May 2011, pp. 71–77.

    Google Scholar 

  112. Franke, J., R. Dohle, F. Schüßler, T. Oppert, T. Friedrich, and S. Härter, “Processing and Reliability Analysis of Flip-Chips with Solder Bumps Down to 30 μm Diameter”, IEEE/ECTC Proceedings, May 2011, pp. 893–900.

    Google Scholar 

  113. Bao, Z., J. Burrell, B. Keser, P. Yadav, S. Kalchuri, and R. Zang, “Exploration of the Design Space of Wafer Level Packaging Through Numerical Simulation”, IEEE/ECTC Proceedings, May 2011, pp. 761–766.

    Google Scholar 

  114. England, L., “Solder Joint Reliability Performance of Electroplated SnAg Mini-Bumps for WLCSP Applications”, IEEE/ECTC Proceedings, May 2010, pp. 599–604.

    Google Scholar 

  115. Walls, J., S. Kuo, E. Gelvin, and A. Rogers, “High-Sensitivity Electromigration Testing of Lead-Free WLCSP Solder Bumps”, IEEE/ECTC Proceedings, May 2010, pp. 293–296.

    Google Scholar 

  116. Zhang, Y., and Y. Xu, “The Experimental and Numerical Investigation on Shear Behaviour of Solder Ball in a Wafer Level Chip Scale Package”, IEEE/ECTC Proceedings, May 2010, pp. 1746–1751.

    Google Scholar 

  117. Liu, Y., Q. Qian, J. Kim, and S. Martin, “Board Level Drop Impact Simulation and Test for Development of Wafer Level Chip Scale Package”, IEEE/ECTC Proceedings, May 2010, pp. 1186–1194.

    Google Scholar 

  118. Chen, L., Y. Hsu, P. Fang, and R. Chen, “Packaging Effect Investigation for MEMS-based Sensors WL-CSP with a Central Opening”, IEEE/ECTC Proceedings, May 2010, pp. 1689–1695.

    Google Scholar 

  119. Okayama, Y., M. Nakasato, K. Saitou, Y. Yanase, H. Kobayashi, T. Yamamoto, R. Usui, and Y. Inoue, “Fine Pitch Connection and Thermal Stress Analysis of a Novel Wafer Level Packaging Technology Using Laminating Process”, IEEE/ECTC Proceedings, May 2010, pp. 287–292.

    Google Scholar 

  120. Chen, L., C. Chen, T. Wilburn, and G. Sheng, “The Use of Implicit Mode Functions to Drop Impact Dynamics of Stacked Chip Scale Packaging”, IEEE/ECTC Proceedings, May 2011, pp. 2152–2157.

    Google Scholar 

  121. Chang, S., C. Cheng, L. Shen, and K. Chen, “A Novel Design Structure for WLCSP With High Reliability, Low Cost, and Ease of Fabrication”, IEEE Transactions on Advanced Packaging, September 2007, 30(3), pp. 377 – 383.

    Google Scholar 

  122. Zhou, T., S. Ma, D. Yu, M. Li, and T. Hang, “Development of Reliable, High Performance WLCSP for BSI CMOS Image Sensor for Automotive Application”, Sensors 2020, 20(15), July 2020, pp. 4077–4083.

    Google Scholar 

  123. Lau, J. H., C. Ko, T, Peng, T. Tseng, K. Yang, T. Xia, B. Lin, E. Lin, L. Chang, H. Liu, C. Lin, Y. Fan, D. Cheng, and W. Lu, “Reliability of 6-side Molded Panel-Level Chip-Scale Packages (PLCSPs), IEEE/ECTC Proceeding, May 2021.

    Google Scholar 

  124. Garrou, P., “Wafer level chip scale packaging (WL-CSP): an overview”, IEEE Transactions on Advanced Packaging, Vol. 23, Issue: 2, May 2000, pp. 198–205.

    Google Scholar 

  125. Rogers, B., M. Melgo, M. Almonte, S. Jayaraman, C. Scanlan, and T. Olson, “Enhancing WLCSP Reliability Through Build-up Substrate Improvements and New Solder Alloys”, IWLPC Proceedings, October 2014, pp. 1–7.

    Google Scholar 

  126. Wu, Z., H. Zhang, and J. Malinowski, “Understanding and Improving Reliability for Wafer Level Chip Scale Package: A Study Based on 45 nm RFSOI Technology for 5G Applications”, IEEE Journal of the Electron Devices Society, September 2020, pp. 1–10.

    Google Scholar 

  127. Liu, T., C. Chen, S. Liu, M. Chang, and J. Lin, “Innovative methodologies of circuit edit by focused ion beam (FIB) on wafer-level chip-scale-package (WLCSP) devices”, Journal of Materials Science: Materials in Electronics, Vol. 22, No. 10, pp. 1536–1541.

    Google Scholar 

  128. Rahangdale, U., B. Conjeevaram, A. Doiphode, and S. Kummerl, “ “Solder ball reliability assessment of WLCSP — Power cycling versus thermal cycling”, IEEE/ITHERM Proceedings, June 2017, pp. 1361–1368.

    Google Scholar 

  129. Hsiao, A., M. Sheikh, K. Loh, E. Ibe, and T. Lee, “Impact of Conformal Coating Induced Stress on Wafer Level Chip Scale Package Thermal Performance”, SMTA Journal, Volume 33 Issue 2, 2020, pp. 7–13.

    Google Scholar 

  130. Hsiao, A., G. Baty, E. Ibe, K. Loh, S. Perng, W. Xie, and T. Lee, “Edgebond and Edgefill Induced Loading Effect on Large WLCSP Thermal Cycling Performance”, SMTA Journal, Volume 33 Issue 2, 2020, pp. 22–27.

    Google Scholar 

  131. Braun, T., K.-F. Becker, O. Hoelck, R. Kahle, M. Wohrmann, L. Boettcher, M. Topper, L. Stobbe, H. Zedel, R. Aschenbrenner, S. Voges, M. Schneider-Ramelow, and K.-D. Lang, “Panel Level Packaging – A View along the Process Chain”, Proceedings of IEEE/ECTC, May 2019, pp. 70–78.

    Google Scholar 

  132. Braun, T., K. Becker, O. Hoelck, S. Voges, R. Kahle, M. Dreissigacker, and M. Ramelow, “Fan-Out Wafer and Panel Level Packaging as Packaging Platform for Heterogeneous Integration”, Micromachines, May 2019, pp. 1–9.

    Google Scholar 

  133. Ueno, K., K. Dohi, Y. Suzuki, and M. Hirose, “Development of Sheet Typemolding Compounds for Panel Level Package”, Proceedings of IEEE/ECTC, May 2019, pp. 2162–2167.

    Google Scholar 

  134. Fujinaga, T., “High rate and low damage etching method as pretreatment of seed layer sputtering for fan out panel level packaging”, Proceedings of IEEE/ECTC, May 2019, pp. 358–362.

    Google Scholar 

  135. Weichart, J., J. Weichart, A. Erhart, and K. Viehweger, “Preconditioning Technologies for Sputtered Seed Layers in FOPLP”, Proceedings of IEEE/ECTC, May 2019, pp. 1833–1841.

    Google Scholar 

  136. Selhofer, H., A. Mayr, and H. Pristauz, “Large Panel Size Bonder with High Performance and High Accuracy”, Proceedings of IEEE/ECTC, May 2019, pp. 1492–1497.

    Google Scholar 

  137. Bu, L., F. X. Che, V. Rao, and X. Zhang, “Mechanism of Moldable Underfill (MUF) Process for RDL-1st Fan-Out Panel Level Packaging (FOPLP)”, Proceedings of IEEE/ECTC, May 2019, pp. 1152–1158.

    Google Scholar 

  138. Che, F. X., K. Yamamoto, V. Rao, and V. Sekha, “Study on Warpage of Fan-Out Panel Level Packaging (FO-PLP) using Gen-3 Panel”, Proceedings of IEEE/ECTC, May 2019, pp. 842–849.

    Google Scholar 

  139. Ko, C. T., H. Yang, J. H. Lau, et al., “Chip-First Fan-Out Panel Level Packaging for Heterogeneous Integration”, IEEE Transactions on CPMT, 2018, Vol. 8, Issue 9, September 2018, pp. 1561–1572.

    Google Scholar 

  140. Ko, C. T., H. Yang, J. H. Lau, et al., “Design, Materials, Process, and Fabrication of Fan-Out Panel-Level Heterogeneous Integration”, IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, Vol. 15, Issue: 4, October 2018, pp. 141–147.

    Google Scholar 

  141. Lau, J. H., Fan-Out Wafer-Level Packaging, Springer, New York, 2018.

    Google Scholar 

  142. Lau, J. H., Heterogeneous Integrations, Springer, New York, 2019.

    Google Scholar 

  143. Lau, J. H., “State of the Art of Lead-Free Solder Joint Reliability”, ASME Transactions, Journal of Electronic Package, Vol. 143, June 2021, pp. 803–1 – 802-36.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to John H. Lau .

Rights and permissions

Reprints and permissions

Copyright information

© 2021 The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Lau, J.H. (2021). Fan-In Wafer/Panel-Level Chip-Scale Packages. In: Semiconductor Advanced Packaging. Springer, Singapore. https://doi.org/10.1007/978-981-16-1376-0_3

Download citation

  • DOI: https://doi.org/10.1007/978-981-16-1376-0_3

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-16-1375-3

  • Online ISBN: 978-981-16-1376-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics