Abstract
The Negative Bias Temperature Instability (NBTI) phenomenon is agreed to be one of the main reliability concerns in nanoscale circuits. It increases the threshold voltage of pMOS transistors, thus, slows down signal propagation along logic paths between flip-flops. NBTI may cause intermittent faults and, ultimately, the circuit’s permanent functional failures. In this paper, we propose an innovative NBTI mitigation approach by rejuvenating the nanoscale logic along NBTI-critical paths. The method is based on hierarchical identification of NBTI-critical paths and the generation of rejuvenation stimuli using an Evolutionary Algorithm. A new, fast, yet accurate model for computation of NBTI-induced delays at gate-level is developed. This model is based on intensive SPICE simulations of individual gates. The generated rejuvenation stimuli are used to drive those pMOS transistors to the recovery phase, which are the most critical for the NBTI-induced path delay. It is intended to apply the rejuvenation procedure to the circuit, as an execution overhead, periodically. Experimental results performed on a set of designs demonstrate reduction of NBTI-induced delays by up to two times with an execution overhead of 0.1 % or less. The proposed approach is aimed at extending the reliable lifetime of nanoelectronics.
Similar content being viewed by others
References
(1998) Data sheet “74HC/HCT181 4-bit arithmetic logic unit”, Philips
Abella J, Vera X et al (2007) Penelope: the NBTI-aware processor. Proc. 40th Annual IEEE/ACM International Symposium on Microarchitecture, pp. 85–96
Ahmed F, Milor L (2010) Reliable cache design with on-chip monitoring of NBTI degradation in SRAM cells using BIST. Proc. 28th IEEE VLSI Test Symposium, pp. 63–68
Alam MA (2005) Reliability- and process-variation aware design of integrated circuits. Microelectron Reliabil 48(8):1114–1122
Alam MA, Mahapatra S (2005) A comprehensive model of PMOS NBTI degradation. Microelectron Reliab 45(1):71–81
Belluz J, Gaudesi M, Squillero G, Tonda A (2015) Operator selection using improved dynamic multi-armed bandit. Proc. ACM Genetic and Evolutionary Computation Conference, pp. 1311–1317
Bhardwaj S, Wang W, Vattikonda R, Cao Y, Vrudhula S (2006) Predictive modeling of the NBTI effect for reliable design,” Proc. IEEE Custom Integr. Circuits Conf., pp. 189–192
Ceratti A, Copetti T, Bolzani L, Vargas F (2012) Investigating the use of an on-chip sensor to monitor NBTI effect in SRAM. Proc. IEEE 13th Latin American Test Workshop, pp.1-6, Apr. 10–13
Corno F, Sanchez E, Sonza Reorda M, Squillero G (2005) Automatic test generation for verifying microprocessors. IEEE Potentials 24(1):34–37
Corno F, Sonza Reorda M, Squillero G (2000) RT-level ITC’99 benchmarks and first ATPG results. IEEE Des Test Comput 17(3):44–53
Drechsler R (1998) Evolutionary Algorithms for VLSI CAD. Springer, New York
Eiben AE, Smith J (2015) Introduction to Evolutionary Computing. Springer, New York
Ferri C, Papagiannopoulou D, Bahar RI, Calimera A (2011) NBTI-aware data allocation strategies for scratchpad memory based embedded systems. Proc. IEEE 12th Latin American Test Workshop, pp. 1–6, Mar. 27–30
Firouzi F, Kiamehr S, Tahoori MB (2011) A linear programming approach for minimum NBTI vector selection. Proc. Great Lakes Symposium on VLSI, pp. 253–258
Firouzi F, Kiamehr S, Tahoori MB (2012) NBTI mitigation by optimized NOP assignment and insertion. Proc. ACM/IEEE Conference on Design, Automation and Test in Europe, pp. 218–223
Fu X, Li T, Fortes J (2008) NBTI tolerant microarchitecture design in the presence of process variation. Proc. Int. Symposium on Microarchitecture, pp. 399–410
Grasser T, Kaczer B (2007) Negative bias temperature instability: recoverable versus permanent degradation. Proc. 37th European Solid State Device Research Conference, Munich, pp. 127–130
Hamdioui S, Gizopoulos D, Guido G, Nicolaidis M, Grasset A, Bonnot P (2013) Reliability challenges of real-time systems in forthcoming technology nodes. Proc. ACM/IEEE Conference on Design, Automation and Test in Europe, pp. 129–134
Jenihhin M, Tsepurov A, Tihhomirov V, Raik J, Hantson H, Ubar R, Bartsch G, Escobar JM, Wuttke H-D (2014) Automated design error localization in RTL designs. IEEE Des Test 31(1):83–92
Khan S, Hamdioui S (2011) Modeling and mitigating NBTI in nanoscale circuits. Proc. 17th International On-Line Testing Symposium, pp. 1–6
Kostin S, Raik J, Ubar R, Jenihhin M, Vargas F, Bolzani Poehls LM, Copetti T (2014) Hierarchical identification of NBTI-critical gates in nanoscale logic. Proc. IEEE 15th Latin American Test Workshop, pp.1-6
Kukner H, Khan S, Weckx P, Raghavan P, Hamdioui S, Kaczer B, Catthoor F, Van der Perre L, Lauwereins R, Groeseneken G (2014) Comparison of reaction–diffusion and atomistic trap-based BTI models for logic gates. IEEE Trans Device Mater Reliab 14(1):182–193
Kumar SV, Kim CH, Sapatnekar SS (2007) NBTI-aware synthesis of digital circuits. Proc. Design Automation Conference, pp. 370–375
Kumar S, Kim S, Sapatnekar S (2009) Adaptive techniques for overcoming performance degradation due to aging in digital circuits. Proc.Asia and South Pacific Design Automation Conference, pp. 284–289
Li Q, Han Q, Sun L (2013) Context-aware handoff on smartphones. Proc. IEEE 10th International Conference on Mobile Ad-Hoc and Sensor Systems, pp. 470–478
Li L, Zhang Y, Yang J, Zhao J (2010) Proactive nbti mitigation for busy functional units in out-of-order microprocessors. Proc. ACM/IEEE Conference on Design, Automation and Test in Europe, pp. 411–416
Lin I-C, Lin C-H, Li K-H (2013) Leakage and aging optimization using transmission gate-based technique. IEEE Trans Comput Aided Des Integr Circ Syst 32(1):87–99
Mahapatra S, Saha D, Varghese D, Kumar PB (2006) On the generation and recovery of interface traps in MOSFETs subjected to NBTI, FN, and HCI stress. IEEE Trans Electron Devices 53(7):1583–1592
Open Cores Plasma CPU project, [http://opencores.org/project,plasma]. Accessed 2015-09-01
Sanchez E, Schillaci M, Squillero G (2011) Evolutionary Optimization: the μGP toolkit”. Springer, New York
Squillero G (2005) MicroGP - an evolutionary assembly program generator. Genet Program Evolvable Mach 6(3):247–263
Squillero G (2011) Artificial evolution in computer aided design: from the optimization of parameters to the creation of assembly programs. Computing 93(2):102–120
Storn R, Price K (1997) Differential evolution – a simple and efficient heuristic for global optimization over continuous spaces. J Glob Optim 11(4):341–359
Tai AT, Alkalai L, Chau SN (1998) On-board preventive maintenance for long-life deep-space missions: a model-based analysis. lProc. Computer Performance and Dependability Symposium, pp.196-205, Sep 7–9
Tiwari A, Torrellas J (2008) Facelift: hiding and slowing down aging in multicores. Proc. International Symposium on Microarchitecture, pp. 129–140
Tšepurov A, Bartsch G, Dorsch R, Jenihhin M, Raik J, Tihhomirov V (2012) A scalable model based RTL framework zamiaCAD for static analysis. Proc. IFIP/IEEE International Conference on Very Large Scale Integration, pp. 171–176
Ubar R, Vargas F, Jenihhin M, Raik J, Kostin S, Bolzani Poehls L (2013) Identifying NBTI-Critical Paths in Nanoscale Logic. Proc. Euromicro Conference on Digital System Design, pp. 136 – 141
Wang Y, Chen X, Wang W, Balakrishnan V, Cao Y, Xie Y, Yang H (2009) On the efficacy of input Vector Control to mitigate NBTI effects and leakage power. Proc. Quality Electronic Design Int’l Symp., pp. 19–26
Wang W, Reddy V, Krishnan A, Vattikonda R, Krishnan S, Cao Y et al (2007) Compact modeling and simulation of circuit reliability for 65nm CMOS technology. IEEE Trans Device Mater Reliab 7(4):509–517
Wang W, Yang S, Bhardwaj S, Vrudhula S, Liu F, Cao Y (2010) The impact of NBTI effect on combinational circuit: modeling, simulation, and analysis. IEEE Trans VLSI 18(2):173–183
Wirth GI, da Silva R, Kaczer B (2011) Statistical model for MOSFET bias temperature instability component due to charge trapping. IEEE Trans Electron Devices 58:2743–275
Yu C, Velamala J, Sutaria K, Chen MS-W, Ahlbin J, Sanchez EI, Bajura M, Fritze M (2014) Cross-Layer Modeling and Simulation of Circuit Reliability. IEEE Trans Comput Aided Des Integr Circuits Syst 33(1):8–23
zamiaCAD framework web page, [http://zamiaCAD.sf.net]. Accessed 09-01 2015
Zhao W, Cao Y (2007) Predictive technology model for Nano-CMOS design exploration. J Emerging Technol Comput Syst 3(1), Article 1, (http://ptm.asu.edu/modelcard/2006/65nm_bulk.pm)
Acknowledgments
This work has been supported in part by projects EU FP7 CP BASTION, H2020 RIA IMMORTAL and H2020 TWINN TUTORIAL, by CNPq (Science and Technology Foundation, Brazil) under contract n. 303701/2011-0 (PQ) and FAPERGS/CAPES under contract n. 014/2012.
Authors would like to acknowledge Dr. Christoph Werner, from TU Munich, Germany for valuable comments regarding the proposed approach.
Author information
Authors and Affiliations
Corresponding author
Additional information
Responsible Editor: V. Champac
Rights and permissions
About this article
Cite this article
Jenihhin, M., Squillero, G., Copetti, T.S. et al. Identification and Rejuvenation of NBTI-Critical Logic Paths in Nanoscale Circuits. J Electron Test 32, 273–289 (2016). https://doi.org/10.1007/s10836-016-5589-x
Received:
Accepted:
Published:
Issue Date:
DOI: https://doi.org/10.1007/s10836-016-5589-x