Skip to main content

Subthreshold MOS for Ultra-Low Power

  • Chapter
  • First Online:
Book cover Extreme Low-Power Mixed Signal IC Design
  • 1676 Accesses

Abstract

This Chapter provides a brief review on modeling of MOSFET devices especially for weak-inversion (WI) devices.1 The main issues associated with WI design such as variation due to PVT, mismatch effects,and device noise are briey addressed. Meanwhile, a review on the main problems for implementing ULP CMOS circuits is provided. At the end of the Section, an analytical approach for systematic design of digital CMOS circuits operating in WI region with optimum energy consumption and acceptable reliability is proposed.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Y. Tsividis, Operation and Modeling of the MOS Transistors, McGraw-Hill, 1999

    Google Scholar 

  2. R. G. Arns, “The other transistors: early history of the metal-oxide semiconductor field-effect transistor,” in IEE Eng. Sci. Educ. J., vol. 7, no. 5, pp. 233–240, Oct. 1998

    Article  Google Scholar 

  3. J. E. Lilienfeld, “Method and apparatus for controlling electric current,” US Patent no. 1745175, Jan. 1930

    Google Scholar 

  4. Y. Taur and T. H. Ning, Fundamentals of Modern VLSI Devices, Cambridge University Press, 1998

    Google Scholar 

  5. E. Vittoz and J. Fellrath, “CMOS analog integrated circuits based on weak inversion operation,” IEEE J. Solid-State Circuits, vol. 12, no. 3, pp. 224–231, Jun. 1977

    Article  Google Scholar 

  6. C. C. Enz and E. A. Vittoz, Charge-Based MOS Transistor Modeling, Wiley, 2006

    Google Scholar 

  7. C. C. Enz, F. Krummenacher, and E. A. Vittoz, “An analytical MOS transistor model valid in all regions of operation and dedicated to low-voltage and low-current applications,” in Analog Integrated Circuits and Signal Processing, vol. 8, pp. 83–114, Jul. 1995

    Google Scholar 

  8. G. E. Moore, “Cramming more components onto integrated circuits,” in Electronics Magzine, vol. 38, no. 8, Apr. 1965

    Google Scholar 

  9. M. Plank, “The Genesis and Present State of Development of the Quantum Theory (Nobel Lecture),” Jun. 1920

    Google Scholar 

  10. T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, Second Ed., Cambridge University Press, 2002

    Google Scholar 

  11. T. Sakurai and A. R. Newton, “Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas,” IEEE J. Solid-State Circuits, vol. 25, pp. 584–594, Apr. 1990

    Article  Google Scholar 

  12. T. Sakurai and A. R. Newton, “A simple MOSFET model for circuit analysis,” in IEEE Transactions on Electron Devices, vol. 38, pp. 887-894, Apr. 1991

    Article  Google Scholar 

  13. P. Kinget, “Device mismatch and tradeoffs in the design of analog circuits,” IEEE J. Solid-State Circuits, vol. 40, no. 6, pp. 1212–1224, Jun. 2005

    Article  Google Scholar 

  14. T. Mizuno, J.-I. Okamura, and A. Toriumi, “Experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFET’s,” in IEEE Transactions on Electron Devices, vol. 41, no. 11, pp. 2216–2221, Nov. 1994

    Article  Google Scholar 

  15. A. Asenov, A. R. Brown, J. H. Davies, S. Kaya, and G. Slavcheva, “Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs,” in IEEE Transactions on Electron Devices, vol. 50, no. 9, pp. 1837–1852, Sep. 2003

    Article  Google Scholar 

  16. D. Bol, R. Ambroise, D. Flander, and J. D. Legat, “Interests and limitations of technology scaling for subthreshold logic,” in Transactions on Very Large Scale Integration (VLSI) Systems, vol. 17, no. 10, pp. 1508–1519, Oct. 2009

    Article  Google Scholar 

  17. A.-J. Annema, B. Nauta, R. van Langevelde, and H. Tuinhout, “Analog circuits in ultra-deep-submicron CMOS,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 132–143, Jan. 2005

    Article  Google Scholar 

  18. A. A. Abidi, “Phase noise and jitter in CMOS ring oscillators,” IEEE J. Solid-State Circuits, vol. 41, no. 8, pp. 1803–1816, Aug. 2006

    Article  Google Scholar 

  19. M. S. J. Steyaert, W. M. C. Sansen, and C. Zhongyuan, “A micropower low-noise monolithic instrumnetation amplifier for medical purposes,” IEEE J. Solid-State Circuits, vol. 22, no. 6, pp. 1163–1168, Dec. 1987

    Article  Google Scholar 

  20. R. R. Harrison and C. Charles, “A low-power low-noise CMOS amplifier for neural recording application,” IEEE J. Solid-State Circuits, vol. 38, no. 6, pp. 958–965, Jun. 2003

    Article  Google Scholar 

  21. H. Wu and Y. P. Xu, “A 1V 2.3 μW biomedical signal acquisition IC,” IEEE Solid-State Circuit Conf. (ISSCC), pp. 119–120, Feb. 2006

    Google Scholar 

  22. T. Denison, K. Consoer, A. Kelly, A. Hachenburg, and W. Santa, “A 2.2 μW 94 nV/\(\sqrt{Hz}\), chopper-stabilized instrumentation amplifier for EEG detection in chronic implants,” IEEE Solid-State Circuit Conf. (ISSCC), pp. 162–163, Feb. 2007

    Google Scholar 

  23. W. Wattanapanitch, M. Fee, and R. Sarpeshkar, “An energy-efficient micropower nerual recording amplifier,” IEEE Trans. Biomedical Circ. Syst., vol. 1, no. 2, pp. 136–147, Jun. 2007

    Article  Google Scholar 

  24. V. Majidzadeh Bafar, A. Schmid, and Y. Leblebici, “A micropower neural recording amplifier with improved noise efficiency factor,” to appear in European Conference on Circuits Theory and Design (ECCTD), Antalya, Turkey, Aug. 2009

    Google Scholar 

  25. R. M. Swanson and J. D. Meindl, “Ion-implanted complementary MOS transistors in low-voltage circuits,” IEEE J. Solid-State Circuits, vol. 7, pp. 146–153, Apr. 1972

    Article  Google Scholar 

  26. E. Vittoz, B. Gerber, and F. Leuenberger, “Silicon-gate CMOS frequency divider for the electronicd wirst watch,” IEEE J. Solid-State Circuits, vol. 7, no. 2, pp. 100–104, Apr. 1972

    Article  Google Scholar 

  27. A. P. Chandrakasan and R. W. Broderson, “Minimizing power consumption in digital CMOS circuits,” in Proceedings of the IEEE, vol. 83, no. 4, pp. 498–523, Apr. 1995

    Google Scholar 

  28. Z. T. Deniz, Y. Leblebici, and E. A. Vittoz, “On-line global energy optimization in multi-core systems using priciples of analog computation,” IEEE J. Solid-State Circuits, vol. 42, no. 7, pp. 1593–1596, Jul. 2007

    Article  Google Scholar 

  29. “International Technology Road Map for Semiconductors,” 2001, [online], Available: http://public.itrs.net

  30. B. H. Calhoun, S. Khanna, R. Mann, and J. Wang, “Sub-threshold circuit design with shrinking CMOS devices,” in IEEE International Symposium on Circuits and Systems, pp. 2541–2544, May 2009

    Google Scholar 

  31. F. M. Wanlass and C. T. San, “Nanowatt logic using field-effect metal-oxide semiconductor triodes,” IEEE Solid-State Circuit Conf. (ISSCC), pp. 32–33, Feb. 1963

    Google Scholar 

  32. M. Anis and M. Elmasry, Multi-Threshold CMOS Digital Circuits, Managing Leakage Power, Kluwer, 2003

    Google Scholar 

  33. K. Roy, S. Mukhopadhyay, and H. Mahmoodi-Meimandi, “Leakage current mechanisems and leakage reduction techniques in deep-submicrometer CMOS circuits,” in Proceeding of the IEEE, vol. 91, no. 2, pp. 305–327, Feb. 2003

    Google Scholar 

  34. P. R. van der Meer, A. van Staveren, and A. H. M. van Roermund, Low-Power Deep Sub-Micron CMOS Logic, Springer, 2004

    Google Scholar 

  35. K. Schuegraf and C. Hu, “Hole injection Sio2 breakdown model for very low voltage lifetime extrapolation,” in IEEE Transactions Electron Devices, vol. 41, pp. 761–767, May 1994

    Article  Google Scholar 

  36. Z.-H. Liu, C. Hu, J.-H. Huang, T.-Y. Chan, M.-C. Jeng, P. K. Ko, and Y. C. Cheng, “Threshold voltage model for deep-submicrometer MOSFETs,” in IEEE Transactions on Electron Devices, vol. 40, no. 1, pp. 8695, Jan. 1993

    Google Scholar 

  37. K. Roy and S. C. Prasad, Low-Power CMOS VLSI Circuit Design, New York: Wiley, 2000

    Google Scholar 

  38. Y. Leblebici and S.-M. Kang, Hot-carrier reliability of MOS VLSI circuits, Kluwer, 1993

    Google Scholar 

  39. B.C. Paul, Raychowdhury, and K. Roy, “Device optimization for digital subthreshold logic operation,” in IEEE Transactions on Electron Devices, vol. 52, no. 2, pp. 237–247, Feb. 2005

    Google Scholar 

  40. K. Tae-Hyoung, J. Kaene, E. Hanyong, and C. H. Kim, “Utilizing reverse short-channel effect for optimal subthreshold circuit design,” in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 15, no. 7, pp. 821–829, Jul. 2007

    Article  Google Scholar 

  41. S. Chung and C.-T Li, “An analytical threshold-voltage model of trench-isolated MOS devices with nonuniformly doped substrates,” in IEEE Transactions on Electron Devices, vol. 39, pp. 614–622, Mar. 1992

    Google Scholar 

  42. D. Fotty, MOSFET Modeling with SPICE, Englewood Cliffs, NJ: Prentice-Hall, 1997

    Google Scholar 

  43. S. Hanson, M. Seok, D. Sylvester, and D. Blauw, “Nanometer device scaling in subthreshold logic and SRAM,” in IEEE Transactions on Electron Devices, vol. 55, no. 1, pp. 175–185, Jan. 2008

    Article  Google Scholar 

  44. T.-H. Kim, J. Jeane, H. Eom, and C. H. Kim, “Utilizing reverse shortchannel effect for optimal subthreshold circuit design,” in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 15, no. 7, pp. 821–829, Jul. 2007

    Article  Google Scholar 

  45. Y. Ye, S. Borkar, and V. De, “New technique for standby leakage reduction in high-performance circuits,” Dig. Tech. Papers Symp. VLSI Circuits, pp. 40–41, Jun. 1998

    Google Scholar 

  46. Z. Chen, M. Johnson, L. Wei, and K. Roy, “Estimation of standby leakage power in CMOS circuits considering accurate modeling of transistor stacks,” in Proceedings of the International Symposium on Low Power Electronics and Design, pp. 239–244, Aug. 1998

    Google Scholar 

  47. Z. Chen, L. Wei, A. Keshavarzi, and K. Roy, “IDDQ testing for deep submicron ICs: challenges and solutions,” IEEE Des. Test Comput., pp. 24–33, Mar.-Apr. 2002

    Google Scholar 

  48. C. Wann, F. Assaderaghi, R. Dennard, C. Hu, G. Shahidi, and Y. Taur, “Channel profile optimization and device design for low-power high-performance dynamic-threshold MOSFET,” Dig. Tech. Papers IEEE Int. Electron Devices Meeting, pp. 113–116, Dec. 1996

    Google Scholar 

  49. A. J. Bhavnagarwala, B. L. Austin, K. A. Bowman, and J. D. Meindl, “A minimum total power methodology for projecting limits on CMOS GSI,” IEEE Trans. VLSI Syst., vol. 8, pp. 235–251, Jun. 2000

    Article  Google Scholar 

  50. S. Mukhopadhyay, K. Keunwoo; C. Ching-Te, “Device design and optimization methodology for leakage and variability reduction in sub-45-nm FD/SOI SRAM,” in IEEE Transactions on Electron Devices, vol. 55, no. 1, pp. 152–162, Jan. 2008

    Article  Google Scholar 

  51. J. Lohstroh, E. Seevinck, and J. De Groot, “Worst-case static noise margin criteria for logic circuits and their mathematical equivalence,” IEEE J. Solid-State Circuits, vol. 18, Dec. 1983

    Google Scholar 

  52. J. R. Hauser, “Noise margin criteria for digital logic circuits,” IEEE Transactions on Education, vol. 36, Nov. 1993

    Google Scholar 

  53. A. Tajalli and Y. Leblebici, “Leakage current reduction using subthreshold source-coupled logic,” in IEEE Transactions on Circuits and Systems-II: Express Briefs (Special Issue on Nanocircuits), vol. 56, no. 5, pp. 347–351, May 2009

    Google Scholar 

  54. B. Zhai, S. Hanson, D. Blauw, and D. Sylvester, “Analysis and mitigation of variability in subthreshold design,” in Proceedings IEEE/ACM International Symposium Low-Power Electronics Design, pp. 20–25, 2005

    Google Scholar 

  55. R. Gonzalez, B. M. Gordon, and M. A. Horowitz, “Supply and threshold voltage scaling for low power CMOS,” IEEE J. Solid-State Circuits, vol. 32, no. 8, pp. 1210–1216, Aug. 1997

    Article  Google Scholar 

  56. N. Verma, J. Kwong, and A. P. Chandrakasan, “Nanometer MOSFET variation in minimum energy subthrehsold circuits,” in IEEE Transactions on Electron Devices, vol. 55, no. 1, pp. 163–174, Jan. 2008

    Article  Google Scholar 

  57. Predictive Technology Model, [online], http://www.eas.asu.edu/~ptm/

  58. X. Xi, and et al., BSIM4.3.0 MOSFET Model - Users Manual, University of California, Berkeley, 2003

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Armin Tajalli .

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Tajalli, A., Leblebici, Y. (2010). Subthreshold MOS for Ultra-Low Power. In: Extreme Low-Power Mixed Signal IC Design. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-6478-6_2

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-6478-6_2

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-6477-9

  • Online ISBN: 978-1-4419-6478-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics