Skip to main content

Carbon Nanotubes as Interconnects: A Short Review on Modelling and Optimization

  • Conference paper
  • First Online:
Decision Intelligence Solutions (InCITe 2023)

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 1080))

Included in the following conference series:

  • 69 Accesses

Abstract

Carbon nanotube (CNT) interconnects have a substantial influence on the performance and power loss of integrated circuits (ICs). When copper’s conductivity declines considerably owing to side effects in future generations of technology, nanotubes, which are rolled-up sheets of carbon one atom thick, hold great potential for fixing some of the most pressing interconnect issues. Carbon nanotubes possess a number of remarkable properties, including very high mechanical strength, stability, and broad electron mean-free paths. This literature review examines the physical circuit design and modelling of carbon nanotubes. At a tolerable working temperature (100 °C), single-wall (SWCNT) and multi-wall carbon nanotube (MWCNT) interconnects are compared to Cu interconnects for possible future improvement. These models accurately represent the size, temperature dependence, and numerous electron photonic scattering mechanisms of quantum conductance. Utilizing a hybrid structure comprised of Cu, SWCNTs, and MWCNTs yields the better network gain. In addition to the delay they provide to important channels, the power they squander, the distortion and vibration they cause each other, and their vulnerability to electron transfer, interconnects are regarded as one of the most significant challenges facing Giga scale integration.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 189.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 249.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Naeemi A, Meindl JD: Review of Materials Research, pp 255–275 (2009)

    Google Scholar 

  2. Magen N, Kolodny A, Weiser U, Shamir N: (2004)

    Google Scholar 

  3. Sakurai T: Perspectives on power-aware electronics. In: Proceedings IEEE International Solid State Circuits Conference, pp 26–29. IEEE (2003)

    Google Scholar 

  4. Nagaraj, N.S., Bonifield, T., Singh, A., Bittlestone, C., Narasimha, U.: BEOL variability and impact on RC extraction. Proc. Des. Autom. Conf., 42nd, pp 758–59 (2005)

    Google Scholar 

  5. Steinhogl W, Schindler G, Steinlesberger G, Traving M, Engelhardt M (2005) Comprehensive study of the resistivity of copper wires with lateral dimensions of 100 nm and smaller. J Appl Phys 97:23706–23713

    Article  Google Scholar 

  6. Rossnagel SM, Kuan TS (2004) Alteration of Cu conductivity in the size effect regime. J Vac Sci Technol B 22:240–287

    Article  Google Scholar 

  7. Mann D, Javey A, Kong J, Dai WQ (2003) H: Ballistic transport in metallic nanotubes with reliable Pd ohmic contacts. Nano Lett 3:1541–1585

    Article  Google Scholar 

  8. Mceuen PL, Fuhrer MS, Hongkun P (2002) Single-walled carbon nanotube electronics. Nanotechnol. IEEE Trans 1:78–85

    Article  Google Scholar 

  9. Graham AP, Duesberg GS, Hoenlein W, Kreupl F, Liebau M (2005) How do carbon nanotubes fit into the semiconductor roadmap? Appl Phys A 80:1141–1151

    Article  Google Scholar 

  10. Naeemi A, Meindl JD (2007) Design and performance modeling for single-walled carbon nanotubes as local, semiglobal, and global interconnects in gigascale integrated systems. Electron. Devices IEEE Trans 54:26–37

    Article  Google Scholar 

  11. Nihei M, Kondo D, Kawabata A, Sato S, Shioya H: Low-resistance multi-walled carbon nanotube vias with parallel channel conduction of inner shells. In: Proceedings IEEE International Interconnect Tech. Conference, pp 234–270 (2005)

    Google Scholar 

  12. Vajtai R, Bingqing W, Joon Y, Anyuan J, Biswas, CKS: Building and testing organized architectures of carbon nanotubes. Nanotechnol. IEEE Trans 2, 355–61 (2003)

    Google Scholar 

  13. Nieuwoudt A, Massoud Y (2006) Understanding the impact of inductance in carbon nanotube bundles for VLSI interconnect using scalable modeling techniques. Nanotechnol. IEEE Trans 5:758–765

    Article  Google Scholar 

  14. Cao A, Baskaran R, Frederick MJ, Turner K, Ajayan PM, Ramanath G (2003) Direction- selective and length-tunable in-plane growth of carbon nanotubes. Adv Mater 15:1105–1114

    Article  Google Scholar 

  15. Ural A, Li Y, Dai H (2002) Electric-field-aligned growth of single-walled carbon nanotubes on surfaces. Appl Phys Lett 81:3464–3466

    Article  Google Scholar 

  16. Huang L, Cui X, White B, O’brien SP: Long and oriented single-walled carbon nanotubes grown by ethanol chemical vapor deposition. J. Phys. Chem. B 108, 16451–56 (2004)

    Google Scholar 

  17. Hersam MC (2008) Progress towards monodisperse single-walled carbon nanotubes. Nat Nano 3:387–394

    Article  Google Scholar 

  18. Naeemi A, Meindl JD (2008) Performance modeling for single- and multi-wall carbon nanotubes as signal and power interconnects in gigascale systems. Electron. Devices IEEE Trans 55:2574–2582

    Article  Google Scholar 

  19. Datta S (2005) Quantum Transport: Atom to Transistor. Cambridge Univ. Press, Cambridge, UK/New York

    Book  Google Scholar 

  20. Dresselhaus MS, Dresselhaus G, Avouris P: Carbon Nanotubes: Synthesis, Structure, Properties, and Applications. Springer (2001)

    Google Scholar 

  21. Naeemi A, Meindl JD (2005) Impact of electron-phonon scattering on the performance of car- bon nanotube interconnects for GSI. Electron. Device Lett. IEEE 26:476–478

    Article  Google Scholar 

  22. Javey A, Guo J, Paulsson M, Wang Q, Mann D (2004) High-field quasi ballistic transport in short carbon nanotubes. Phys Rev Lett 92:106804–106804

    Article  Google Scholar 

  23. Li , Yin W-Y, Mao J-F: Modeling of carbon nanotube interconnects and comparative analysis with cu interconnects. In: Proceedings of Asia-Pacific Microwave Conference (2006).

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Gaurav Mitra .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2023 The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Mitra, G., Sharda, V., Sharma, R. (2023). Carbon Nanotubes as Interconnects: A Short Review on Modelling and Optimization. In: Hasteer, N., McLoone, S., Khari, M., Sharma, P. (eds) Decision Intelligence Solutions. InCITe 2023. Lecture Notes in Electrical Engineering, vol 1080. Springer, Singapore. https://doi.org/10.1007/978-981-99-5994-5_2

Download citation

Publish with us

Policies and ethics