Skip to main content

Hardware Security in Emerging Photonic Network-on-Chip Architectures

  • Chapter
  • First Online:
Emerging Computing: From Devices to Systems

Abstract

Photonic networks-on-chip (PNoCs) enable high bandwidth on-chip data transfers by using photonic waveguides capable of dense-wavelength-division-multiplexing (DWDM) for signal traversal and microring resonators (MRs) for signal modulation. A Hardware Trojan in a PNoC can manipulate the electrical driving circuit of its MRs to cause the MRs to snoop data from the neighboring wavelength channels in a shared photonic waveguide. This introduces a serious security threat. This chapter presents a novel framework called SOTERIA that utilizes process variation based authentication signatures along with architecture-level enhancements to protect data in PNoC architectures from snooping attacks. With a minimal overheads of up to 10.6% in average latency and of up to 13.3% in energy-delay-product (EDP) our approach can significantly enhance the hardware security in DWDM-based PNoCs.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  • D.M. Ancajas et al., Fort-NoCs: mitigating the threat of a compromised NoC, in Proceedings of the DAC (2014)

    Google Scholar 

  • G. Ascia, V. Catania, S. Monteleone, M. Palesi, D. Patti, J. Jose, Improving energy consumption of NoC based architectures through approximate communication, in 2018 7th Mediterranean Conference on Embedded Computing (MECO), Budva (2018), pp. 1–4

    Google Scholar 

  • M. Baharloo, A. Khonsari, P. Shiri, I. Namdari, D. Rahmati, High-average and guaranteed performance for wireless networks-on-chip architectures, in IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Hong Kong (2018), pp. 226–231

    Google Scholar 

  • P. Bahrebar, D. Stroobandt, Hamiltonian path strategy for deadlock-free and adaptive routing in diametrical 2D mesh NoCs, in 5th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, Shenzhen (2015), pp. 1209–1212

    Google Scholar 

  • C. Batten et al., Building manycore processor-to-dram networks with monolithic silicon photonics. Hot I, 21–30 (2008)

    Google Scholar 

  • R. Ben Abdelhamid, Y. Yamaguchi, T. Boku, MITRACA: manycore interlinked torus reconfigurable accelerator architecture, in 2019 IEEE 30th International Conference on Application-Specific Systems, Architectures and Processors (ASAP), New York, NY, USA (2019), pp. 38–38

    Google Scholar 

  • L. Benini, G.D. Micheli, Networks on chips: a new SoC paradigm. IEEE Comput. 35, 70–78 (2002)

    Article  Google Scholar 

  • G.B.P. Bezerra, S. Forrest, M. Forrest, A. Davis, P. Zarkesh Ha, Modeling NoC traffic locality and energy consumption with rent’s communication probability distribution, in Proceedings of the International Workshop on System Level Interconnect Prediction (SLIP’10) (2010), pp. 3–8

    Google Scholar 

  • C. Bienia et al., The PARSEC benchmark suit: characterization and architectural implications, in PACT, Oct. 2008

    Google Scholar 

  • N. Binkert et al., The gem5 simulator, in CA News, May 2011

    Google Scholar 

  • A.K. Biswas, Efficient timing channel protection for hybrid (packet/circuit-switched) network-on-chip. IEEE Trans. Parallel Distrib. Syst. 29(5), 1044–1057 (2018)

    Article  Google Scholar 

  • T. Boraten, A.K. Kodi, Runtime techniques to mitigate soft errors in network-on-chip (NoC) architectures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(3), 682–695 (2018)

    Google Scholar 

  • T.H. Boraten, A.K. Kodi, Securing NoCs against timing attacks with non-interference based adaptive routing, in Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), Turin (2018), pp. 1–8

    Google Scholar 

  • CACTI 6.5 (2021), http://www.hpl.hp.com/research/cacti/

  • R. Chakraborty, S. Narasimhan, S. Bhunia, Hardware Trojan: threats and emerging solutions, in Proceedings of the HLDVT, Nov. 2009, pp. 166–171

    Google Scholar 

  • C. Chen, A. Joshi, Runtime management of laser power in silicon-photonic multibus NoC architecture, in Proceedings of the IEEE JQE (2013)

    Google Scholar 

  • S.V.R. Chittamuru, S. Pasricha, SPECTRA: a framework for thermal reliability management in silicon-photonic networks-on-chip, in Proceedings of the VLSID, Jan 2016

    Google Scholar 

  • S.V.R. Chittamuru, I. Thakkar, S. Pasricha, Analyzing voltage bias and temperature induced aging effects in photonic inter-connects for manycore computing, in Proceedings of the SLIP, June 2017

    Google Scholar 

  • S.V.R. Chittamuru, I. Thakkar, S. Pasricha, HYDRA: hetero-dyne crosstalk mitigation with double microring resonators and data encoding for photonic NoCs. TVLSI 26(1) (2018a)

    Google Scholar 

  • S.V.R. Chittamuru, I.G. Thakkar, V. Bhat, S. Pasricha, SOTERIA: exploiting process variations to enhance hardware security with photonic NoC architectures, in 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), San Francisco, CA (2018b)

    Google Scholar 

  • S.V.R. Chittamuru, I. Thakkar, S. Pasricha, PICO: mitigating heterodyne crosstalk due to process variations and intermodu-lation effects in photonic NoCs, in Proceedings of the DAC, June 2016a

    Google Scholar 

  • S.V.R. Chittamuru, I. Thakkar, S. Pasricha, Process variation aware crosstalk mitigation for DWDM based photonic NoC Architectures, in Proceedings of the ISQED, March 2016b

    Google Scholar 

  • S.V.R. Chittamuru, S. Desai, S. Pasricha, SWIFTNoC: a reconfigurable silicon photonic network with multicast enabled channel sharing for multicore architectures. ACM JETC 13(4), 58 (2017)

    Google Scholar 

  • W.J. Dally, B. Towles, Route packets, not wires, in Proceedings of the DAC (2001)

    Google Scholar 

  • D. Dang, S.V.R. Chittamuru, R. Mahapatra, S. Pasricha, Islands of heaters: a novel thermal management framework for photonic NoCs, in Proceedings of the ASPDAC, Jan 2017

    Google Scholar 

  • S. Das, K. Basu, J.R. Doppa, P.P. Pande, R. Karri, K. Chakrabarty, Abetting planned obsolescence by aging 3D networks-on-chip, in Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), Turin (2018), pp. 1–8

    Google Scholar 

  • B. de Dinechin, R. Ayrignac, P.-E. Beaucamps, P. Couvert, B. Ganne, P. de Massas, F. Jacquet, S. Jones, N. Chaisemartin, F. Riss, T. Strudel, A clustered manycore processor architecture for embedded and accelerated applications, in High Performance Extreme Computing Conference (HPEC) (2013)

    Google Scholar 

  • D. DiTomaso, T. Boraten, A. Kodi, A. Louri, Dynamic error mitigation in NoCs using intelligent prediction techniques, in 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Taipei (2016), pp. 1–12

    Google Scholar 

  • D. DiTomaso, A. Kodi, D. Matolak, S. Kaya, S. Laha, W. Rayess, A-WiNoC: adaptive wireless network-on-chip architecture for chip multiprocessors. IEEE Trans. Parallel Distrib. Syst. 26(12), 3289–3302 (2015)

    Article  Google Scholar 

  • H. Elmiligi, F. Gebali, M. Watheq El-Kharashi, A.A. Morgan, Traffic analysis of multi-core body sensor networks based on wireless NoC infrastructure, in 2015 IEEE Pacific Rim Conference on Communications, Computers and Signal Processing (PACRIM), Victoria, BC (2015), pp. 201–204

    Google Scholar 

  • D. Fan, SmarCo: an efficient many-core processor for high-throughput applications in datacenters, in IEEE International Symposium on High Performance Computer Architecture (HPCA), Vienna (2018), pp. 596–607

    Google Scholar 

  • C.H. Gebotys et al., A framework for security on NoC technologies, in Proceedings of the ISVLSI, Feb. 2003

    Google Scholar 

  • J. Held, Single-chip cloud computer: an experimental many-core processor from intel labs, in Presented at Intel Labs Single-chip Cloud Computer Symposium (Santa Clara, California, 2010)

    Google Scholar 

  • Y. Hoskote, S. Vangal, A. Singh, N. Borkar, S. Borkar, A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro 51–61 (2007)

    Google Scholar 

  • L. Huang, A lifetime-aware mapping algorithm to extend MTTF of networks-on-chip, in 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), Jeju (2018), pp. 147–152

    Google Scholar 

  • H.K. Kapoor et al., A security framework for NoC using authenticated encryption and session keys, in CSSP (2013)

    Google Scholar 

  • J.S. Kim, J. Beom Hong, J.Y. Kang, T. Hee Han, Lifetime improvement method using threshold-based partial data compression in NoC, in International SoC Design Conference (ISOCC), Daegu, Korea (South) (2018), pp. 269–270

    Google Scholar 

  • H. Kim, P. Ghoshal, B. Grot, P.V. Gratz, Reducing network-onchip energy consumption through spatial locality speculation, in Proceedings of the International Symposium on Networks-On-Chip (NOCS’11) (2011), pp. 233–240

    Google Scholar 

  • J.S. Kim, M.B. Taylor, J. Miller, D. Wentzlaff, Energy characterization of a tiled architecture processor with on-chip networks, in ISLPED ’03, New York, NY, USA (ACM, 2003)

    Google Scholar 

  • H. Kim, A. Vitkovskiy, P.V. Gratz, V. Soteriou, Use it or lose it: wear-out and lifetime in future chip multiprocessors, in 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Davis, CA (2013), pp. 136–147

    Google Scholar 

  • B. Lebiednik, S. Abadal, H. Kwon, T. Krishna, Architecting a secure wireless network-on-chip, in Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), Turin (2018), pp. 1–8

    Google Scholar 

  • C. Li et al., Energy-efficient optical broadcast for nanophotonic networks-on-chip, in Proceedings of the OIC (2012), pp. 64–65

    Google Scholar 

  • C. Li, M. Browning, P.V. Gratz, S. Palermo, LumiNOC: a power-efficient, high-performance, photonic network-on-chip for future parallel architectures, in 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT), Minneapolis, MN (2012), pp. 421–422

    Google Scholar 

  • K. Madden, J. Harkin, L. McDaid, C. Nugent, Adding security to networks-on-chip using neural networks, in IEEE Symposium Series on Computational Intelligence (SSCI), Bangalore, India (2018), pp. 1299–1306

    Google Scholar 

  • M. Mattina, Architecture and Performance of the TILE-GX Processor Family. White Paper (Tilera Corporation, 2014)

    Google Scholar 

  • H. McGhan, Niagara 2. Microprocessor Report (2006)

    Google Scholar 

  • M. McKeown et al., Piton: a manycore processor for multitenant clouds. IEEE Micro 37(2), 70–80 (2017)

    Article  Google Scholar 

  • D.A.B. Miller, Device requirements for optical interconnects to silicon chips. JPROC 97(7), 1166–1185 (2009)

    Google Scholar 

  • M.G. Moghaddam, Dynamic energy and reliability management in network-on-chip based chip multiprocessors, in Eighth International Green and Sustainable Computing Conference (IGSC), Orlando, FL (2017), pp. 1–4

    Google Scholar 

  • K. Padmaraju et al., Wavelength locking and thermally stabilizing microring resonators using dithering signals. JLT 32(3) (2013)

    Google Scholar 

  • Y. Pan et al., Firefly: illuminating future network-on-chip with nanophotonics, in Proceedings of the ISCA (2009)

    Google Scholar 

  • Y. Pan, J. Kim, G. Memik, Flexishare: channel sharing for an energy efficient nanophotonic crossbar, in Proceedings of the HPCA (2010)

    Google Scholar 

  • M. Parasar, A. Sinha, T. Krishna, Brownian bubble router: enabling deadlock freedom via guaranteed forward progress, in Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), Turin (2018), pp. 1–8

    Google Scholar 

  • S. Pasricha, N. Dutt, On-chip Communication Architectures (Morgan Kauffman, 2008)

    Google Scholar 

  • A.C. Pinheiro, J.A.N. Silveira, D.A.B. Tavares, F.G.A. Silva, C.A.M. Marcon, Optimized fault-tolerant buffer design for network-on-chip applications, in IEEE 10th Latin American Symposium on Circuits and Systems (LASCAS), Colombia, Armenia (2019), pp. 217–220

    Google Scholar 

  • S. Priya, S. Agarwal, H.K. Kapoor, Fault tolerance in network on chip using bypass path establishing packets, in 2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID), Pune (2018), pp. 457–458

    Google Scholar 

  • A. Ramrakhyani, T. Krishna, Static bubble: a framework for deadlock-free irregular on-chip topologies, in IEEE International Symposium on High Performance Computer Architecture (HPCA), Austin, TX (2017), pp. 253–264

    Google Scholar 

  • A. Ramrakhyani, P.V. Gratz, T. Krishna, Synchronized progress in interconnection networks (SPIN): a new theory for deadlock freedom. IEEE Micro 39(3), 110–117 (2019)

    Article  Google Scholar 

  • V. Rathore, V. Chaturvedi, A.K. Singh, T. Srikanthan, M. Shafique, Towards scalable lifetime reliability management for dark silicon manycore systems, in IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS), Greece, Rhodes (2019), pp. 204–207

    Google Scholar 

  • A. Rovinski, A 1.4 GHz 695 Giga Risc-V Inst, s 496-core manycore processor with mesh on-chip network and an all-digital synthesized PLL in 16nm CMOS, in Symposium on VLSI Circuits, Kyoto, Japan (2019), pp. C30–C31

    Google Scholar 

  • A. Samih, R. Wang, A. Krishna, C. Maciocco, C. Tai, Y. Solihin, Energy-efficient interconnect via router parking, in IEEE 19th International Symposium on High Performance Computer Architecture (HPCA), Shenzhen (2013), pp. 508–519

    Google Scholar 

  • S. Sarangi et al., VARIUS: a model of process variation and resulting timing errors for microarchitects. IEEE TSM 21(1), 3–13 (2008)

    Google Scholar 

  • S.K. Selvaraja, Wafer-scale fabrication technology for silicon photonic integrated circuits. Ph.D. Thesis (Ghent University, 2011)

    Google Scholar 

  • S. Skorobogatov, C. Woods, Breakthrough silicon scanning discovers backdoor in military chip, in Proceedings of the CHES Sept. 2012, pp. 23–40

    Google Scholar 

  • C. Sun et al., DSENT: a tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling, in NOCS (2012)

    Google Scholar 

  • J. Sun, Y. Zhang, An energy-aware mapping algorithm for mesh-based network-on-chip architectures, in 2017 International Conference on Progress in Informatics and Computing (PIC), Nanjing (2017), pp. 357–361

    Google Scholar 

  • M. Tehranipoor, F. Koushanfar, A survey of hardware Trojan taxonomy and detection. IEEE Des. Test 10–25 (2009)

    Google Scholar 

  • I. Thakkar, S.V.R. Chittamuru, S. Pasricha, Improving the reliability and energy-efficiency of high-bandwidth photonic NoC architectures with multilevel signaling, in Proceedings of the NOCS, Oct. 2017

    Google Scholar 

  • I. Thakkar, S.V.R. Chittamuru, S. Pasricha, Mitigation of homodyne crosstalk noise in silicon photonic NoC architectures with tunable decoupling, in Proceedings of the CODES+ISSS, Oct. 2016

    Google Scholar 

  • D. Vantrease, Corona: system implications of emerging nanophotonic technology, in International Symposium on Computer Architecture, Beijing (2008), pp. 153–164

    Google Scholar 

  • C. Wang, W. Hu, N. Bagherzadeh, A wireless network-on-chip design for multicore platforms, in 2011 19th International Euromicro Conference on Parallel, Distributed and Network-Based Processing, Ayia Napa (2011), pp. 409–416

    Google Scholar 

  • Z. Wang et al., CAMON: low-cost silicon photonic chiplet for manycore processors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (2019)

    Google Scholar 

  • L. Wang, X. Wang, T. Mak, Adaptive routing algorithms for lifetime reliability optimization in network-on-chip. IEEE Trans. Comput. 65(9), 2896–2902 (2016)

    Article  MathSciNet  Google Scholar 

  • S. Xiao, M.H. Khan, H. Shen, M. Qi, Modeling and measurement of losses in silicon-on-insulator resonators and bends. Opt. Express 15(17), 10553–10561 (2007)

    Article  Google Scholar 

  • L. Zhou, A.K. Kodi, PROBE: prediction-based optical bandwidth scaling for energy-efficient NoCs, in Proceedings of the IEEE/ACM International Symposium on Networks-on-Chip (NOCS) (2016)

    Google Scholar 

Download references

Acknowledgements

This research is supported by grants from the University of Kentucky and NSF (CCF-1813370).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sudeep Pasricha .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2023 Springer Nature Singapore Pte Ltd.

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Thakkar, I.G., Chittamuru, S.V.R., Bhat, V., Vatsavai, S.S., Pasricha, S. (2023). Hardware Security in Emerging Photonic Network-on-Chip Architectures. In: Aly, M.M.S., Chattopadhyay, A. (eds) Emerging Computing: From Devices to Systems. Computer Architecture and Design Methodologies. Springer, Singapore. https://doi.org/10.1007/978-981-16-7487-7_9

Download citation

  • DOI: https://doi.org/10.1007/978-981-16-7487-7_9

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-16-7486-0

  • Online ISBN: 978-981-16-7487-7

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics