Skip to main content

Fundamentals of Heat Dissipation in 3D IC Packaging and Thermal-Aware Design

  • Chapter
  • First Online:
3D Microelectronic Packaging

Part of the book series: Springer Series in Advanced Microelectronics ((MICROELECTR.,volume 64))

Abstract

Cooling of a planar 2D IC chip utilizes heat transfer from a face of the chip though a heat sink. In case of a 3D IC chip stack, the individual chip faces are not available for mounting conventional heat sinks. Mounting the heat sinks on the ends is feasible, but the heat flow paths for the interior chips from the junction to the heat sink become longer. Further, multiple heat sources present along the heat flow paths in stacked chips may create localized hot spots which exceed the allowable junction temperatures. While 2.5D integration in complex ICs where individual layers are mounted on another base die called an interposer can alleviate the heat dissipation issues, it cannot deliver the benefits of monolithic 3D ICs due to the planar distance between the chips or chiplets over the interposer. Introducing interlayer cooling with microchannels and introducing fins in the coolant flow paths extend the thermal dissipation capability of a 3D stack; however this is often accompanied with taller microchannels that lead to longer lengths of through-silicon-vias (TSVs). Placement of TSVs, microchannels walls and fins present conflicting design requirements. Therefore co-design and innovative approaches are seen as critical before widespread commercialization of 3D ICs becomes a reality. An overview of the available cooling options for 3D ICs and their performance evaluation are presented in this chapter.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 149.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 199.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 199.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. S.G. Kandlikar, Review and projections of integrated cooling systems for 3D ICs. J. Electr. Packag. 136(2), 024001, 11. http://doi.org/10:1115/1.4027175

  2. D.B. Tuckerman, R.F. Pease, High performance heat sinking for VLSI. IEEE Electron Dev. Lett. 2(5), 126–129 (1981)

    Article  ADS  Google Scholar 

  3. S.G. Kandlikar, A.V. Bapat, Evaluation of Jet impingement, spray, and microchannel chip cooling options for high heat flux removal. Heat Transfer Eng. 28(11), 911–923 (2007)

    Article  ADS  Google Scholar 

  4. A.C. Cotler, E.R. Brown, V. Dhir, M.C. Shaw, Chip-Level spray cooling of an LD-MOSFET RF Power amplifier. IEEE Trans. Comp. Packag. Technol. 27(2), 411–416 (2004)

    Article  Google Scholar 

  5. C.J. Chang, H.T. Chen, C. Gau, Flow and heat transfer of a microjet impinging on a heated chip: Part I—micro free and impinging jet. Nanoscale Microscale Thermophys. Eng. 17(1), 50–68 (2013)

    Article  ADS  Google Scholar 

  6. M. Yue, S. Mahmoud, S. Quentin, C. Perceval, C. Jean-Phulippe, S. Abdelkader, F. Luc, G. Christian, Study of miniaturizaption of a silicon vapor chamber for compact 3D microelectronics, via a hybrid analytical and finite element method. Trans. Netw. Commun. 7(6). https://doi.org/10.14738/tnc.76.7569

  7. E.G. Colgan, B. Furman, M. Gaynes, N. LaBianca, J.H. Magerlein, R. Polastre, R. Bezama, K. Marston, R. Schmidt, High performance and subambient silicon microchannel cooling. ASME J. Heat Transfer 129(8), 1046–1051 (2007)

    Article  Google Scholar 

  8. M.E. Steinke, S.G. Kandlikar, Single-phase liquid heat transfer in plain and enhanced microchannels, in ASME 4th International Conference on Nanochannels, Microchannels and Minichannels (Limerick, Ireland, June 19–21), ASME Paper No. ICNMM2006-96227

    Google Scholar 

  9. S.G. Kandlikar, D. Kudithipudi, C.A. Rubio-Jimenez, Cooling mechanisms in 3D ICs: thermo-mechanical perspective, in IEEE International Green Computing Conference and Workshops (IGCC) (Orlando, FL, 2011), July, pp. 25–28

    Google Scholar 

  10. W.M. Kays, London, Compact Heat Exchangers. McGraw Hills, New York, NY (1984)

    Google Scholar 

  11. W.-L. Cheng, W.-W. Zhang, H. Chen, L. Hu, Spray cooling and flash evaporation cooling: The current development and application. Renew. Sustain. Energy Rev. 55, 614–628 (2016)

    Article  Google Scholar 

  12. S.G. Kandlikar, W.J. Grande, Evolution of microchannel flow passages-thermohydraulic performance and fabrication technology 24(1), 3–17 (2003)

    Google Scholar 

  13. J.-M. Koo, S. Im, L. Jiang, K.E. Goodson, Integrated microchannel cooling for three-dimensional electronic circuit architecture. ASME J. Heat Transfer 127(1), 49–58 (2005)

    Article  Google Scholar 

  14. A.W. Topol, D.C. La Tulipe, L. Shi, D.J. Frank, Three-dimensional integrated circuit. IBM J. Res. Develop. 50(4.5), 491–506 (2006). https://doi.org/10.1147/rd.504.0491

  15. H. Mizunuma, L.Y. Chang, Y. Chia-Lin, Thermal modeling and analysis for 3-D ICs with integrated microchannel cooling. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(9), 1293–1306 (2011). https://doi.org/10.1109/TCAD.2011.2144596

    Article  Google Scholar 

  16. J.H. Lau, T.G. Yue, Thermal management of 3D IC integration with TSV (through silicon via), in 59th Electronic Components and Technology Conference, pp. 635–640 (2009)

    Google Scholar 

  17. K. Puttaswamy, G.H. Loh, Thermal analysis of a 3D die-stacked high-performance microprocessor, in Proceedings of the 16th ACM Great Lakes symposium on VLSI, pp. 19–24 (2006)

    Google Scholar 

  18. H. Wei, M.R. Stan, S. Gurumurthi, R.J.K. Skadron, Interaction of scaling trends in processor architecture and cooling, in 26th Annual IEEE SEMI-THERM, pp. 198–204 (2010)

    Google Scholar 

  19. P. Chaparro, J. González, G. Magklis, C. Qiong, A. González, Understanding the thermal implications of multi-core architectures. IEEE Trans. Parallel Distrib. Syst. 18(8), 1055–1065 (2007)

    Google Scholar 

  20. I. Yeo, C.C. Liu, E.J. Kim, Predictive dynamic thermal management for multicore systems. Proc. of DAC 2008, 734–739 (2008)

    Google Scholar 

  21. H.F. Sheikh, H. Tan, I. Ahmad, S. Ranka, B. Phanisekhar, Energy-and performance-aware scheduling of tasks on parallel and distributed systems. ACM J. Emerg. Technol. Comput. Syst. (JETC) 8(4), 32 (2012)

    Google Scholar 

  22. D. Cuesta, J. Ayala, J. Hidalgo, D. Atienza, A. Acquaviva, E. Macii, Adaptive Task Migration Policies for Thermal Control in MPSOCS, VLSI 2010 Annual Symposium (Springer, Netherlands, 2011), pp. 83–115

    Chapter  Google Scholar 

  23. T. Ge, P. Malani, Q. Qiu, Distributed task migration for thermal management in many-core systems. Proc. of DAC 2010, 579–584 (2010)

    Google Scholar 

  24. J. Cui, D. Maskell, A fast high-level event-driven thermal estimator for dynamic thermal aware scheduling. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 31(6), 904–917 (2012)

    Google Scholar 

  25. K. Chen, E. Chang, H. Li, A. Wu, RC-based temperature prediction scheme for proactive dynamic thermal management in throttle-based 3D NoCs. IEEE Trans. Parallel Distrib. Syst. 26(1), 206–218 (January 1, 2015)

    Google Scholar 

  26. H. Xiao, W. Yueh, S. Mukhopadhyay, S. Yalamanchili, Thermally adaptive cache access mechanisms for 3D many-core architectures. IEEE Comput. Archite. Lett. 15(2), 129–132 (July–December 1, 2016)

    Google Scholar 

  27. D. Yinon, T.D. Dudderar, B.J. Han, A.M. Lyons, Thin Packaging of Multi-Chip Modules with Enhanced Thermal/Power Management. U.S. Patent No. 5,646,828. 8 (July, 1997)

    Google Scholar 

  28. M. Qing, H. Fujimoto, Silicon Interposer and Multi-Chip-Module (MCM) with Through Substrate Vias. U.S. Patent No. 6,229,216 (2001)

    Google Scholar 

  29. G. Brent, S.S. Sapatnekar, Placement of thermal vias in 3-D ICs using various thermal objectives. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(4), 692–709 (2006)

    Article  Google Scholar 

  30. D. Saha, S. Sur-Kolay, Guided GA-based multiobjective optimization of placement and assignment of TSVs in 3-D ICs. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 27(8), 1742–1750 (August, 2019)

    Google Scholar 

  31. S. Das, J.R. Doppa, P.P. Pande, K. Chakrabarty, Monolithic 3D-enabled high performance and energy efficient network-on-chip, in 2017 IEEE International Conference on Computer Design (ICCD) (Boston, MA, 2017), pp. 233–240

    Google Scholar 

  32. S. Wang, K. Chakrabarty, M.B. Tahoori, Defect clustering-aware spare-TSV allocation in 3-D ICs for YIELD enhancement. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(10), 1928–1941 (2019)

    Article  Google Scholar 

  33. Q. Xu, S. Chen, X. Xu, B. Yu, Clustered fault tolerance TSV planning for 3-D integrated circuits, in I34EEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 36, no. 8, pp. 1287–1300 (August, 2017)

    Google Scholar 

  34. B. Dang, M.S. Bakir, D.C. Sekar, C.R. King Jr., J.D. Meindl, Integrated microfluidic cooling and interconnects for 2D and 3D chips. IEEE Trans. Adv. Packag. 33(1), 79–87 (2010)

    Article  Google Scholar 

  35. HotSpot. http://lava.cs.virginia.edu/HotSpot/links.htm

  36. D-ICE. http://esl.epfl.ch/3d-ice.html

  37. P. Jacob, O. Erdogan, A. Zia, P.M. Belemjian, R.P. Kraft, J.F. McDonald, Predicting the performance of a 3D processor-memory chip stack. Des. Test Comput. IEEE 22(6), 540–547 (2005)

    Article  Google Scholar 

  38. L. Feihui, C. Nicopoulos, T. Richardson, Y. Xie, V. Narayanan, M. Kandemir, Design and management of 3D chip multiprocessors using network-in-memory. ACM SIGARCH Comput. Archit. News, IEEE Comput. Soc. 34(2), 130–141 (2006)

    Article  Google Scholar 

  39. L. Benini, G. De Micheli, Networks on chips: a new SoC paradigm. Computer 35(1), 70–78 (2002)

    Article  Google Scholar 

  40. M.S. Shamim, R.S. Narde, J.-L. Gonzalez-Hernandez, A. Ganguly, J. Venkatarman, S.G. Kandlikar, Evaluation of wireless network-on-chip architectures with microchannel-based cooling in 3D multicore chips. Sustain. Comput.: Inf. Syst. 21, 165–178 (2019)

    Google Scholar 

  41. T. Brunschwiler, B. Michel, H. Rothuizen, U. Kloter, B. Wunderle, H. Oppermann, H. Reichl, Interlayer cooling potential in vertically integrated packages. Miscrosyst. Technol. 15, 57–74 (2008). https://doi.org/10.1007/s00542-008-0690-4

    Article  Google Scholar 

  42. Y. Zhang, A. Dembla, Y. Joshi M.S. Bakir, 3D stacked microfluidic cooling for high-performance 3D ICs, in 2012 IEEE 62nd Electronic Components and Technology Conference (San Diego, CA, 2012), pp. 1644–1650. http://doi.org/10.1109/ECTC.2012.6249058

  43. F. Alfieri, M.K. Tiwari, I. Zinovik, D. Poulikakos, T. Brunschwiler, B. Michel, 3D integrated water cooling of a composite multilayer stack of chips, in Proceedings of the 14th International Heat Transfer Conference, IHTC14, p. 9 (August 8–13, 2014)

    Google Scholar 

  44. G. Chen, J. Kuang, Z. Zeng, H. Zhang, E.F.Y. Young, B. Yu, Minimizing thermal gradient and pumping power in 3D IC liquid cooling network design, in DAC’17: Proceedings of the 54th Annual Design Automation Conference, vol. 70, pp. 1–6 (June, 2017). https://doi.org/10.1145/3061639.3062285

  45. B. Ding, Z.-H. Zhang, L. Gong, M.-H. Xu, Z.-Q. Huang, A novel thermal management scheme for 3D-IC chips with multi-cores and high power density. Appl. Therm. Eng. 168, 114832 (2020). https://doi.org/10.1016/j.applthermaleng.2019.114832

    Article  Google Scholar 

  46. C. Mandalapu, I. Abdel-Motaleb, S. Hong, R. Patti, Design, fabrication, and testing of a liquid cooling platform for high power 3D-ICs, in 2019 8th International Symposium on Next Generation Electronics (ISNE) (2019). http://doi.org/10.1109/ISNE.2019.8896611

  47. C.A. Rubio-Jimenez, S. Kandlikar, A. Hernandez-Guerrero, Numerical analysis of novel micro pin fin heat sink with variable fin density. IEEE Trans. Compon. Packag. Manuf. Technol. 2(5), 825–833 (May, 2012). https://doi.org/10.1109/tcpmt.2012.2189925

  48. D. Lorenzini-Gutierrez, S.G. Kandlikar, Variable fin density flow channels for effective cooling and mitigation of temperature nonuniformity in three-dimensional integrated circuits. J. Electr. Packag. 136, 021007–0210011 (2014)

    Google Scholar 

  49. J.-L. Hernandez-Gonzalez, S.G. Kandlikar, Performance assessment comparison of variable fin density microchannels with offset configurations. Heat Transfer Eng. (2016). https://doi.org/10.1080/01457632.2015.1136146

    Article  Google Scholar 

  50. Y. Zhang, C.R. King, J. Zaveri, Y.J. Kim, V. Sahu, Y. Joshi, M.S. Bakir, Coupled electrical and thermal 3D IC centric microfluidic heat sink design and technology, in 61st Electronic Components and Technology Conference (ECTC), IEEE, pp. 2037–2044 (2011). https://doi.org/10.1109/ectc.2011.5898797

  51. S.G. Kandlikar, Fundamental issues related to flow boiling in minichannels and microchannels. Exp. Therm. Fluid Sci. 26(2–4), 389–407 (2002)

    Article  Google Scholar 

  52. S.G. Kandlikar, T. Widger, A. Kalani, V. Mejia, Enhanced flow boiling over open microchannels with uniform and tapered gap manifolds. J. Heat Transfer 135(6), 061401, 9. https://doi.org/10.1115/1.4023574

  53. A. Kalani, S.G. Kandlikar, Combining liquid inertia with pressure recovery from bubble expansion for enhanced flow boiling. Appl. Phys. Lett. 107, 181601 (2015). https://doi.org/10.1063/1.4935211

    Article  ADS  Google Scholar 

  54. Y. Zhu, D.S. Antao, K.H. Chu, T.J. Hendricks, E.N. Wang, Enhanced flow boiling heat transfer in microchannels with structures surfaces, in 15th International Heat Transfer Conference (Kyoto, Japan, 2014), pp. 10–15

    Google Scholar 

  55. C. Green, P. Kottke, X. Han, C. Woodrum, T. Sarvey, P. Asrar, X. Zhang, Y. Joshi, A. Fedorov, S. Sitaraman, M. Bakir, A review of two-phase forced cooling in three-dimensional stacked electronics: technology integration. ASME J. Electr. Packag. 137(040802), 9 (2015)

    Google Scholar 

  56. H.W. Chiou, Y.M. Lee, H.H. Hsiao, L.C. Cheng, Thermal modeling and design on smartphones with heat pipe cooling technique, in 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). https://doi-org.ezproxy.rit.edu/10.1109/ICCAD.2017.8203816

  57. N.E. Jerger, A. Kannan, Z. Li, G.H. Loh, NoC architectures for silicon interposer systems: why pay for more wires when you can get them (from your interposer) for free? IEEE Micro, Cambridge, pp. 458–470 (2014)

    Google Scholar 

  58. M.M. Ahmed, M.S. Shamim, N. Mansoor, S.A. Mamun, A. Ganguly, Increasing interposer utilization: a scalable, energy efficient and high bandwidth multicore-multichip integration, in 2017 Eighth International Green and Sustainable Computing Conference (IGSC), vol. 1, pp. 1–6 (2017). https://doi.ieeecomputersociety.org/10.1109/IGCC.2017.8323583

Download references

Acknowledgements

The editors would like to thank Sangil Lee from Invensas Corporation, Songhua Shi from Medtronic, and Ravi Mahajan from Intel Corporation for their critical review of this Chapter.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Satish G. Kandlikar .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2021 This is a U.S. government work and not under copyright protection in the U.S.; foreign copyright protection may apply

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Kandlikar, S.G., Ganguly, A. (2021). Fundamentals of Heat Dissipation in 3D IC Packaging and Thermal-Aware Design. In: Li, Y., Goyal, D. (eds) 3D Microelectronic Packaging. Springer Series in Advanced Microelectronics, vol 64. Springer, Singapore. https://doi.org/10.1007/978-981-15-7090-2_13

Download citation

  • DOI: https://doi.org/10.1007/978-981-15-7090-2_13

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-15-7089-6

  • Online ISBN: 978-981-15-7090-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics