Skip to main content

RRAM-Based Neuromorphic Computing Systems

  • Chapter
  • First Online:
Emerging Non-volatile Memory Technologies

Abstract

New computational paradigms have been widely investigated in order to further improve the approach in handling the exponentially increasing amount of data generated across the globe as well as various emerging hardware requirements to execute complex tasks, e.g., pattern recognition, speech classification, etc. Neuromorphic computing has emerged as one of the most extensively investigated among these approaches. RRAM devices with their desired characteristics have been rigorously engineered to meet the synaptic element requirements to realize such robust yet power efficient platform. Among the performance parameters necessary to achieve an ideal synaptic device in context of RRAM device, there are certain dependency and potential trade-offs. In this chapter, different type of RRAM, i.e., anion and cation, devices based on their underlying physical mechanism with various advantages and disadvantages are discussed. Different techniques that have been implemented to improve the device synaptic characteristics from material viewpoint and programming approach followed by several system level simulations demonstrating the projected performance of these devices are provided in detail. Different algorithms available for the RRAM synapse implementation are also discussed.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 119.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. C. Koch, Biophysics of computation: information processing in single neurons (computational neuroscience series). Oxford University Press, Inc. (2004)

    Google Scholar 

  2. G.W. Burr et al., Neuromorphic computing using non-volatile memory. Adv Phys 2(1), 89–124 (2017)

    MathSciNet  Google Scholar 

  3. S.B. Laughlin, T.J. Sejnowski, Communication in neuronal networks. Science 301(5641), 1870 (2003)

    Article  ADS  Google Scholar 

  4. R. Gopalakrishnan, RRAM based neuromorphic algorithms, arXiv preprint arXiv:1903.02519 (2019)

  5. G. Indiveri, E. Linn, S. Ambrogio, ReRAM-based neuromorphic computing. Resistive Switching, pp. 715–736, 2016/06/22 (2016)

    Google Scholar 

  6. M. Hu et al., Dot-product engine for neuromorphic computing: Programming 1T1M crossbar to accelerate matrix-vector multiplication, in 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1–6 (2016)

    Google Scholar 

  7. B. Govoreanu et al., 10 × 10 nm2 Hf/HfOx crossbar resistive RAM with excellent performance, reliability and low-energy operation, in 2011 International Electron Devices Meeting, pp. 31.6.1–31.6.4 (2011)

    Google Scholar 

  8. L. Kai-Shin et al., Utilizing sub-5 nm sidewall electrode technology for atomic-scale resistive memory fabrication, in 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers, pp. 1–2 (2014)

    Google Scholar 

  9. M.J. Kim et al., Low power operating bipolar TMO ReRAM for sub 10 nm era, in 2010 International Electron Devices Meeting, pp. 19.3.1–19.3.4 (2010)

    Google Scholar 

  10. H.Y. Lee et al., Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAM, in 2008 IEEE International Electron Devices Meeting, pp. 1–4 (2008)

    Google Scholar 

  11. M.-J. Lee et al., A fast, high-endurance and scalable non-volatile memory device made from asymmetric Ta2O5 − x/TaO2 − x bilayer structures. Nature Mater. 10(8), 625–630, 2011/08/01 (2011)

    Google Scholar 

  12. D.J.J. Loy, P.A. Dananjaya, X.L. Hong, D.P. Shum, W.S. Lew, Conduction mechanisms on high retention annealed MgO-based resistive switching memory devices. Sci. Rep. 8(1), p. 14774, 2018/10/03 (2018)

    Google Scholar 

  13. C.-Y. Lin, C.-Y. Wu, C.-Y. Wu, C. Hu, T.-Y. Tseng, Bistable resistive switching in Al2O3 memory thin films. J. Electrochem. Soc. 154(9), G189–G192 (2007)

    Article  Google Scholar 

  14. Y. Wu, S. Yu, B. Lee, P. Wong, Low-power TiN/Al2O3/Pt resistive switching device with sub-20 μA switching current and gradual resistance modulation. J. Appl. Phys. 110(9), 094104 (2011)

    Article  ADS  Google Scholar 

  15. B. Sarkar, B. Lee, V. Misra, Understanding the gradual reset in Pt/Al2O3/Ni RRAM for synaptic applications, Semicond. Sci. Technol. 30(10), 105014, 2015/08/24 (2015)

    Google Scholar 

  16. Y. Wu, Y. Chai, H.-Y. Chen, S. Yu, H.-S.P. Wong, Resistive switching AlOx-based memory with CNT electrode for ultra-low switching current and high density memory application, in 2011 Symposium on VLSI Technology-Digest of Technical Papers, pp. 26–27: IEEE (2011)

    Google Scholar 

  17. Y. Wu et al., AlOx-based resistive switching device with gradual resistance modulation for neuromorphic device application, in 2012 4th IEEE International Memory Workshop, pp. 1–4: IEEE (2012)

    Google Scholar 

  18. K. Park, J.-S. Lee, Reliable resistive switching memory based on oxygen-vacancy-controlled bilayer structures, RSC Advances. https://doi.org/10.1039/c6ra00798h 6(26), 21736–21741 (2016)

  19. L. Goux et al., Understanding of the intrinsic characteristics and memory trade-offs of sub-μA filamentary RRAM operation, in 2013 Symposium on VLSI Technology, pp. T162–T163: IEEE (2013)

    Google Scholar 

  20. G.C. Adam, B.D. Hoskins, M. Prezioso, F. Merrikh-Bayat, B. Chakrabarti, D.B. Strukov, 3-D memristor crossbars for analog and neuromorphic computing applications. IEEE Trans. Electron Devices 64(1), 312–318 (2016)

    Article  ADS  Google Scholar 

  21. W. Banerjee, X. Xu, H. Lv, Q. Liu, S. Long, M. Liu, Variability improvement of tio x/Al2O3 bilayer nonvolatile resistive switching devices by interfacial band engineering with an ultrathin Al2O3 dielectric material. ACS Omega 2(10), 6888–6895 (2017)

    Article  Google Scholar 

  22. K.-C. Chuang et al., Impact of the stacking order of HfOx and AlOx dielectric films on RRAM switching mechanisms to behave digital resistive switching and synaptic characteristics. IEEE J. Electr. Dev. Soc. 7, 589–595 (2019)

    Google Scholar 

  23. Goux et al., Asymmetry and switching phenomenology in TiN\(Al2O3)\HfO2\Hf systems. ECS Solid State Lett. 1(4), P63–P65 (2012)

    Article  Google Scholar 

  24. W. Song et al., Analog switching characteristics in TiW/Al2O3/Ta2O5/Ta RRAM devices. Appl. Phys. Lett. 115(13), 133501 (2019)

    Article  ADS  Google Scholar 

  25. J. Woo et al., Improved synaptic behavior under identical pulses using AlO x/HfO2 bilayer RRAM array for neuromorphic systems. IEEE Electr. Dev. Lett. 37(8), 994–997 (2016)

    Article  ADS  Google Scholar 

  26. S. Yu, Y. Wu, Y. Chai, J. Provine, H.-S. P. Wong, Characterization of switching parameters and multilevel capability in HfO x/AlO x bi-layer RRAM devices, in Proceedings of 2011 International Symposium on VLSI Technology, Systems and Applications, pp. 1–2: IEEE (2011)

    Google Scholar 

  27. Y. Sun et al., A Ti/AlO x/TaO x/Pt analog synapse for memristive neural network. IEEE Electr. Dev. Lett. 39(9), 1298–1301 (2018)

    Article  ADS  Google Scholar 

  28. W. Wu, H. Wu, B. Gao, N. Deng, S. Yu, H. Qian, Improving analog switching in HfO x-based resistive memory with a thermal enhanced layer. IEEE Electr. Dev Lett. 38(8), 1019–1022 (2017)

    Article  ADS  Google Scholar 

  29. S. R. Lee et al., Multi-level switching of triple-layered TaOx RRAM with excellent reliability for storage class memory, in 2012 Symposium on VLSI Technology (VLSIT), pp. 71–72: IEEE (2012)

    Google Scholar 

  30. S. Kim, C. Du, P. Sheridan, W. Ma, S. Choi, W.D. Lu, Experimental demonstration of a second-order memristor and its ability to biorealistically implement synaptic plasticity. Nano Lett. 15(3), 2203–2211 (2015)

    Article  ADS  Google Scholar 

  31. J. Woo, A. Padovani, K. Moon, M. Kwak, L. Larcher, H. Hwang, Linking conductive filament properties and evolution to synaptic behavior of RRAM devices for neuromorphic applications. IEEE Electron Dev. Lett. 38(9), 1220–1223 (2017)

    Article  ADS  Google Scholar 

  32. K. Seo et al., Analog memory and spike-timing-dependent plasticity characteristics of a nanoscale titanium oxide bilayer resistive switching device, Nanotechnology 22(25), p. 254023, 2011/05/16 (2011)

    Google Scholar 

  33. B. Govoreanu et al., Vacancy-modulated conductive oxide resistive RAM (VMCO-RRAM): an area-scalable switching current, self-compliant, highly nonlinear and wide on/off-window resistive switching cell, in 2013 IEEE International Electron Devices Meeting, pp. 10.2.1–10.2.4 (2013)

    Google Scholar 

  34. B. Govoreanu et al., Advanced a-VMCO resistive switching memory through inner interface engineering with wide (>102) on/off window, tunable μA-range switching current and excellent variability, in 2016 IEEE Symposium on VLSI Technology, pp. 1–2 (2016)

    Google Scholar 

  35. B. Govoreanu et al., A-VMCO: A novel forming-free, self-rectifying, analog memory cell with low-current operation, nonfilamentary switching and excellent variability, in 2015 Symposium on VLSI Technology (VLSI Technology), pp. T132–T133 (2015)

    Google Scholar 

  36. Z. Chai et al., Impact of RTN on pattern recognition accuracy of RRAM-based synaptic neural network. IEEE Electr. Dev. Lett. 39(11), 1652–1655 (2018)

    Article  ADS  Google Scholar 

  37. S. Stathopoulos et al., Multibit memory operation of metal-oxide bi-layer memristors, Sci. Rep. 7(1), 17532, 2017/12/13 (2017)

    Google Scholar 

  38. J. Park, M. Kwak, K. Moon, J. Woo, D. Lee, H. Hwang, TiOx-Based RRAM synapse With 64-levels of conductance and symmetric conductance change by adopting a hybrid pulse scheme for neuromorphic computing. IEEE Electr. Dev. Lett. 37(12), 1559–1562 (2016)

    Article  ADS  Google Scholar 

  39. C. Hsu et al., Self-rectifying bipolar TaOx/TiO2 RRAM with superior endurance over 1012 cycles for 3D high-density storage-class memory, in 2013 Symposium on VLSI Technology, pp. T166–T167 (2013)

    Google Scholar 

  40. C.-W. Hsu et al., Homogeneous barrier modulation of TaOx/TiO2 bilayers for ultra-high endurance three-dimensional storage-class memory, Nanotechnology 25(16), 165202, 2014/03/25 (2014)

    Google Scholar 

  41. Y.-F. Wang, Y.-C. Lin, I. T. Wang, T.-P. Lin, and T.-H. Hou, “Characterization and Modeling of Nonfilamentary Ta/TaOx/TiO2/Ti Analog Synaptic Device,” Scientific Reports, Article vol. 5, p. 10150, 05/08/online 2015

    Google Scholar 

  42. I.T. Wang, C.-C. Chang, L.-W. Chiu, T. Chou, T.-H. Hou, 3D Ta/TaOx/TiO2/Ti synaptic array and linearity tuning of weight update for hardware neural network applications. Nanotechnology 27(36), 365204, 2016/08/02 (2016)

    Google Scholar 

  43. I. Wang, Y. Lin, Y. Wang, C. Hsu, and T. Hou, “3D synaptic architecture with ultralow sub-10 fJ energy per spike for neuromorphic computation,” in 2014 IEEE International Electron Devices Meeting, 2014, pp. 28.5.1–28.5.4

    Google Scholar 

  44. Y. Lin et al., Transferable and flexible artificial memristive synapse based on WOx schottky junction on arbitrary substrates. Adv. Electron. Mater. 4(12), 1800373 (2018)

    Article  Google Scholar 

  45. T. Chang, S.-H. Jo, W. Lu, Short-term memory to long-term memory transition in a nanoscale memristor. ACS Nano 5(9), 7669–7676, 2011/09/27 (2011)

    Google Scholar 

  46. T. Chang, S.-H. Jo, K.-H. Kim, P. Sheridan, S. Gaba, W. Lu, Synaptic behaviors and modeling of a metal oxide memristive device. Appl. Phys. A 102(4), 857–863, 2011/03/01 (2011)

    Google Scholar 

  47. S. Jabeen, M. Ismail, A. M. Rana, E. Ahmed, Impact of work function on the resistive switching characteristics of M/ZnO/CeO2/Pt devices. Mater. Res. Expr. 4(5), 056401, 2017/05/16 (2017)

    Google Scholar 

  48. U. Russo, D. Kamalanathan, D. Ielmini, A.L. Lacaita, M.N. Kozicki, Study of multilevel programming in programmable metallization cell (PMC) memory. IEEE Trans. Electr. Dev. 56(5), 1040–1047 (2009)

    Article  ADS  Google Scholar 

  49. K. Aratani et al., A novel resistance memory with high scalability and nanosecond switching, in 2007 IEEE International Electron Devices Meeting pp. 783–786 (2007)

    Google Scholar 

  50. M. Kund et al., Conductive bridging RAM (CBRAM): an emerging non-volatile memory technology scalable to sub 20 nm, in IEEE International Electron Devices Meeting, 2005. IEDM Technical Digest. pp. 754–757 (2005)

    Google Scholar 

  51. S. Sills et al., A copper ReRAM cell for storage class memory applications, in 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers, pp. 1–2 (2014)

    Google Scholar 

  52. S. Yasuda et al., A cross point Cu-ReRAM with a novel OTS selector for storage class memory applications, in 2017 Symposium on VLSI Technology, pp. T30–T31 (2017)

    Google Scholar 

  53. J. Guy et al., Investigation of the physical mechanisms governing data-retention in down to 10 nm nano-trench Al2O3/CuTeGe conductive bridge RAM (CBRAM), in 2013 IEEE International Electron Devices Meeting, pp. 30.2.1–30.2.4 (2013)

    Google Scholar 

  54. S. Fujii et al., Scaling the CBRAM switching layer diameter to 30 nm improves cycling endurance. IEEE Electr. Dev. Lett. 39(1), 23–26 (2018)

    Article  ADS  Google Scholar 

  55. S. Z. Rahaman et al., Excellent resistive memory characteristics and switching mechanism using a Ti nanolayer at the Cu/TaOx interface, (in eng). Nanoscale Res. Lett. 7(1), 345–345 (2012)

    Google Scholar 

  56. A. Belmonte et al., 90nm W\Al2O3\TiW\Cu 1T1R CBRAM cell showing low-power, fast and disturb-free operation, in 2013 5th IEEE International Memory Workshop, pp. 26–29 (2013)

    Google Scholar 

  57. S. Z. Rahaman et al., Impact of TaOx nanolayer at the GeSex/W interface on resistive switching memory performance and investigation of Cu nanofilament. J. Appl.Phys. 111(6), 063710 (2012)

    Google Scholar 

  58. E.O. Neftci, B.U. Pedroni, S. Joshi, M. Al-Shedivat, G. Cauwenberghs, Stochastic synapses enable efficient brain-inspired learning machines. Front. Neurosci. 10, 241 (2016)

    Article  Google Scholar 

  59. J.H. Lee, K.K. Likharev, Defect-tolerant nanoelectronic pattern classifiers. Int. J. Circ. Theory Appl. 35(3), 239–264 (2007)

    Article  Google Scholar 

  60. M. Suri et al., Bio-inspired stochastic computing using binary CBRAM synapses. IEEE Trans. Electr. Dev. 60(7), 2402–2409 (2013)

    Article  ADS  Google Scholar 

  61. S.H. Jo, T. Chang, I. Ebong, B.B. Bhadviya, P. Mazumder, W. Lu, Nanoscale memristor device as synapse in neuromorphic systems. Nano Lett. 10(4), 1297–1301, 2010/04/14 (2010)

    Google Scholar 

  62. X. Yan et al., Memristor with Ag-cluster-doped TiO2 films as artificial synapse for neuroinspired computing. Adv. Func. Mater. 28(1), 1705320 (2018)

    Article  Google Scholar 

  63. T.D. Dongale, S.V. Mohite, A.A. Bagade, R.K. Kamat, K.Y. Rajpure, Bio-mimicking the synaptic weights, analog memory, and forgetting effect using spray deposited WO3 memristor device. Microelectr. Eng. 183–184, 12–18, 2017/11/05 (2017)

    Google Scholar 

  64. J.H. Yoon et al., Truly electroforming-free and low-energy memristors with preconditioned conductive tunneling paths. Adv. Func. Mater. 27(35), 1702010 (2017)

    Article  Google Scholar 

  65. Y. Wang et al., Self-doping memristors with equivalently synaptic ion dynamics for neuromorphic computing. ACS Appl. Mater. Interf. 11(27), 24230–24240, 2019/07/10 (2019)

    Google Scholar 

  66. Y. Cao, Y. Chen, D. Khosla, Spiking deep convolutional neural networks for energy-efficient object recognition. Int. J. Comput. Vis. 113(1), 54–66, 2015/05/01 (2015)

    Google Scholar 

  67. I. Hubara, M. Courbariaux, D. Soudry, R. El-Yaniv, Y. Bengio, “Binarized neural networks, Presented at the Proceedings of the 30th International Conference on Neural Information Processing Systems, Barcelona, Spain (2016)

    Google Scholar 

  68. M. Courbariaux, Y. Bengio, BinaryNet: training deep neural networks with weights and activations constrained to +1 or −1, CoRR, vol. abs/1602.02830 (2016)

    Google Scholar 

  69. M. Rastegari, V. Ordonez, J. Redmon, A. Farhadi, XNOR-Net: ImageNet classification using binary convolutional neural networks, in Computer Vision—ECCV 2016, Cham, pp. 525–542: Springer International Publishing (2016)

    Google Scholar 

  70. S. Zhou, Y. Wu, Z. Ni, X. Zhou, H. Wen, Y. Zou, DoReFa-Net: training low bitwidth convolutional neural networks with low bitwidth gradients, arXiv preprint arXiv:1606.06160 (2016)

  71. L. Ni, Z. Liu, H. Yu, R.V. Joshi, An Energy-Efficient Digital ReRAM-Crossbar-Based CNN With Bitwise Parallelism. IEEE Journal on Exploratory Solid-State Computational Devices and Circuits 3, 37–46 (2017)

    Article  ADS  Google Scholar 

  72. S. Ambrogio, S. Balatti, A. Cubeta, A. Calderoni, N. Ramaswamy, D. Ielmini, Statistical fluctuations in HfOx resistive-switching memory: Part I—set/reset variability. IEEE Trans. Electr. Dev. 61(8), 2912–2919 (2014)

    Article  ADS  Google Scholar 

  73. S. Ambrogio, S. Balatti, A. Cubeta, A. Calderoni, N. Ramaswamy, D. Ielmini, Statistical fluctuations in HfOx resistive-switching memory: part II—random telegraph noise. IEEE Trans. Electr. Dev. 61(8), 2920–2927 (2014)

    Article  ADS  Google Scholar 

  74. C. Yakopcic, M.Z. Alom, T.M. Taha, Memristor crossbar deep network implementation based on a convolutional neural network, in 2016 International Joint Conference on Neural Networks (IJCNN), pp. 963–970 (2016)

    Google Scholar 

  75. C. Yakopcic, M. Z. Alom, T.M. Taha, Extremely parallel memristor crossbar architecture for convolutional neural network implementation, in 2017 International Joint Conference on Neural Networks (IJCNN), pp. 1696–1703 (2017)

    Google Scholar 

  76. S.K. Esser et al., Convolutional networks for fast, energy-efficient neuromorphic computing. Proc. Natl. Acad. Sci. 113(41), 11441 (2016)

    Article  Google Scholar 

  77. P. Yao et al., Face classification using electronic synapses. Nat. Commun. 8(1), 15199, 2017/05/12 (2017)

    Google Scholar 

  78. C. Li et al., Efficient and self-adaptive in-situ learning in multilayer memristor neural networks. Nat. Commun. 9(1), 2385, 2018/06/19 (2018)

    Google Scholar 

  79. H. Jiang et al., Sub-10 nm Ta channel responsible for superior performance of a HfO2 memristor. Sci. Rep. 6(1), 28525, 2016/06/23 (2016)

    Google Scholar 

  80. Y. Lecun, L. Bottou, Y. Bengio, P. Haffner, Gradient-based learning applied to document recognition. Proc. IEEE 86(11), 2278–2324 (1998)

    Article  Google Scholar 

  81. A. Krizhevsky, G. Hinton, Learning multiple layers of features from tiny images. Citeseer (2009)

    Google Scholar 

  82. J. Deng, W. Dong, R. Socher, L. Li, L. Kai, F.-F. Li, ImageNet: a large-scale hierarchical image database, in 2009 IEEE Conference on Computer Vision and Pattern Recognition, pp. 248–255 (2009)

    Google Scholar 

  83. W. Maass, Networks of spiking neurons: the third generation of neural network models, Neural Netw. 10(9), 1659–1671, 1997/12/01 (1997)

    Google Scholar 

  84. K. Fukushima, Neocognitron: a self-organizing neural network model for a mechanism of pattern recognition unaffected by shift in position,. Biol. Cybern. 36(4), 193–202, 1980/04/01 (1980)

    Google Scholar 

  85. B.V. Benjamin et al., Neurogrid: a mixed-analog-digital multichip system for large-scale neural simulations. Proc. IEEE 102(5), 699–716 (2014)

    Article  Google Scholar 

  86. L.A. Plana et al., SpiNNaker: design and implementation of a GALS multicore system-on-chip. J. Emerg. Technol. Comput. Syst. 7(4), 1–18 (2011)

    Article  MathSciNet  Google Scholar 

  87. F. Akopyan et al., TrueNorth: design and tool flow of a 65 mW 1 million neuron programmable neurosynaptic chip. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(10), 1537–1557 (2015)

    Article  Google Scholar 

  88. P.U. Diehl, D. Neil, J. Binas, M. Cook, S. Liu, M. Pfeiffer, Fast-classifying, high-accuracy spiking deep networks through weight and threshold balancing, in 2015 International Joint Conference on Neural Networks (IJCNN), pp. 1–8 (2015)

    Google Scholar 

  89. J.A. Pérez-Carrasco et al., Mapping from frame-driven to frame-free event-driven vision systems by low-rate rate coding and coincidence processing-application to feedforward ConvNets. IEEE Trans. Patt. Anal. Mach. Intell. 35(11), 2706–2719 (2013)

    Article  Google Scholar 

  90. D. Zambrano, S.M. Bohte, Fast and efficient asynchronous neural computation with adapting spiking neural networks. arXiv preprint arXiv:1609.02053 (2016)

  91. B. Rueckauer, I.-A. Lungu, Y. Hu, M. Pfeiffer, Theory and tools for the conversion of analog to spiking convolutional neural networks. arXiv preprint arXiv:1612.04052 (2016)

  92. J. T. Springenberg, A. Dosovitskiy, T. Brox, M. Riedmiller, Striving for simplicity: the all convolutional net. arXiv preprint arXiv:1412.6806 (2014)

  93. G.-q. Bi, M.-m. Poo, Synaptic modifications in cultured hippocampal neurons: dependence on spike timing, synaptic strength, and postsynaptic cell Type. J. Neurosci. 18(24), 10464 (1998)

    Google Scholar 

  94. L. I. Zhang, H. W. Tao, C. E. Holt, W. A. Harris, M.-m. Poo, A critical window for cooperation and competition among developing retinotectal synapses. Nature 395(6697), 37–44, 1998/09/01 (1998)

    Google Scholar 

  95. L. F. Abbott, S. B. Nelson, Synaptic plasticity: taming the beast. Nat. Neurosci. 3(11), 1178–1183, 2000/11/01 (2000)

    Google Scholar 

  96. http://www.scholarpedia.org/article/Spike-timing_dependent_plasticity

  97. J.-P. Pfister, W. Gerstner, Triplets of spikes in a model of spike timing-dependent plasticity. J. Neurosci. 26(38), 9673 (2006)

    Google Scholar 

  98. J. M. Brader, W. Senn, S. Fusi, Learning real-world stimuli in a neural network with spike-driven synaptic dynamics. Neural Comput. 19(11 November 2007), 2881–2912. http://dx.doi.org/10.1162/neco.2007.19.11.2881 (2007)

  99. http://www.scholarpedia.org/article/BCM_theory

  100. S. Fusi, M. Annunziato, D. Badoni, A. Salamon, D. J. Amit, Spike-driven synaptic plasticity: theory, simulation, vlsi implementation. Neural Comput. 12(10), 2227–2258, 1 Oct. 2000 (2000)

    Google Scholar 

  101. S. Ramakrishnan, P. Hasler, C. Gordon, Floating gate synapses with spike time dependent plasticity, in Proceedings of 2010 IEEE International Symposium on Circuits and Systems (2010), pp. 369–372

    Google Scholar 

  102. R. Gopalakrishnan, A. Basu, Robust doublet STDP in a floating-gate synapse, in 2014 International Joint Conference on Neural Networks (IJCNN) (2014), pp. 4296–4301

    Google Scholar 

  103. R. Gopalakrishnan, A. Basu, On the non-STDP behavior and its remedy in a floating-gate synapse. IEEE Trans. Neural Netw. Learn. Syst. 26(10), 2596–2601 (2015)

    Google Scholar 

  104. M. R. Azghadi, S. Al-Sarawi, D. Abbott, N. Iannella, A neuromorphic VLSI design for spike timing and rate based synaptic plasticity. Neural Netw. 45, 70–82 (2013)

    Google Scholar 

  105. R. Gopalakrishnan, A. Basu, Triplet spike time-dependent plasticity in a floating-gate synapse. IEEE Trans. Neural Netw. Learn. Syst. 28(4), 778–790 (2015)

    Google Scholar 

  106. R. Gopalakrishnan, A. Basu, Triplet spike time-dependent plasticity in a floating-gate synapse. IEEE Trans. Neural Netw. Learn. Syst. 28(4), 778–790 (2017)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Wen Siang Lew .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2021 The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Dananjaya, P.A., Gopalakrishnan, R., Lew, W.S. (2021). RRAM-Based Neuromorphic Computing Systems. In: Lew, W.S., Lim, G.J., Dananjaya, P.A. (eds) Emerging Non-volatile Memory Technologies. Springer, Singapore. https://doi.org/10.1007/978-981-15-6912-8_12

Download citation

  • DOI: https://doi.org/10.1007/978-981-15-6912-8_12

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-15-6910-4

  • Online ISBN: 978-981-15-6912-8

  • eBook Packages: Physics and AstronomyPhysics and Astronomy (R0)

Publish with us

Policies and ethics