Skip to main content

Improving the Performance of Collective Communication for the On-Chip Network

  • Conference paper
  • First Online:
Parallel Architectures, Algorithms and Programming (PAAP 2019)

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 1163))

  • 1371 Accesses

Abstract

Efficiently executing the massively parallel applications has become an important goal of developing a modern high-performance multicore computer. In these parallel programs, the collective communication among these cores consume a large portion of inter-core communication. In order to prevent the collective communication from the performance bottleneck of the on-chip network, this paper proposed a new on-chip network, call Hierarchy Self Similar Cubic (HSSC), to reduce the latency of the collective communication on the multicore system. The corresponding transmission mechanisms and packet scheduling mechanism are proposed to analyze and grouping the packets, and determine a suitable transmission mechanism for each packet group on-the-fly. The experiments compare the performance of several on-chip networks. The advantages of proposed transmission mechanisms and packet scheduling mechanism are also discussed.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Dvorak, V., Jaros, J.: Optimizing collective communications on 2D-mesh and fat tree NoC. In: 2010 Ninth International Conference on Networks, pp. 22–27. IEEE, Menuires (2010)

    Google Scholar 

  2. Chu, S.L., Lee, G.S., Peng, Y.W.: Self similar cubic: a novel interconnection network for many-core architectures. In: 2012 Fifth International Symposium on Parallel Architectures, Algorithms and Programming, pp. 303–310. IEEE, Taipei (2012)

    Google Scholar 

  3. Moadeli, M., Vanderbauwhede, W.: A communication model of broadcast in wormhole-routed networks on-chip. In: 2009 International Conference on Advanced Information Networking and Applications, pp. 315–322. IEEE, Bradford (2009)

    Google Scholar 

  4. Ajima, Y., et al.: Tofu interconnect 2: system-on-chip integration of high-performance interconnect. In: Kunkel, J.M., Ludwig, T., Meuer, H.W. (eds.) ISC 2014. LNCS, vol. 8488, pp. 498–507. Springer, Cham (2014). https://doi.org/10.1007/978-3-319-07518-1_35

    Chapter  Google Scholar 

  5. Ma, S., Jerger, N. E., Wang, Z.: Supporting efficient collective communication in NoCs. In: IEEE International Symposium on High-Performance Comp Architecture, pp. 1–12. IEEE, New Orleans (2012)

    Google Scholar 

  6. Liu, M.H.: The mechanisms for improving performance of SSC on-chip network. Master Thesis, Department of Information & Computer Engineering, CYCU (2017)

    Google Scholar 

  7. Black, D.C., Donovan, J., Bunton, B., Keist, A.: SystemC: From the Ground Up. Springer, Boston (2009). https://doi.org/10.1007/978-0-387-69958-5

    Book  Google Scholar 

  8. Trahay, F., Rue, F., Faverge, M., Ishikawa, Y., Namyst, R., Dongarra, J.: EZTrace: a generic framework for performance analysis. In: 2011 11th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, pp. 618–619. IEEE, Newport Beach (2011)

    Google Scholar 

  9. Bailey, D.H.: NAS parallel benchmarks. In: Padua, D. (ed.) Encyclopedia of Parallel Computing, pp. 1254–1259. Springer, Boston (2011). https://doi.org/10.1007/978-0-387-09766-4_133

    Chapter  Google Scholar 

  10. Snir, M., Gropp, W., Otto, S., Huss-Lederman, S., Dongarra, J., Walker, D.: MPI–The Complete Reference: The MPI Core. MIT Press, London (1998)

    Google Scholar 

Download references

Acknowledgments

This work is supported in part by the Ministry of Science and Technology of Republic of China, Taiwan under Grant MOST 105-2221-E-033-047.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Slo-Li Chu .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Chu, SL., Ho, WC., Jiang, YJ. (2020). Improving the Performance of Collective Communication for the On-Chip Network. In: Shen, H., Sang, Y. (eds) Parallel Architectures, Algorithms and Programming. PAAP 2019. Communications in Computer and Information Science, vol 1163. Springer, Singapore. https://doi.org/10.1007/978-981-15-2767-8_5

Download citation

  • DOI: https://doi.org/10.1007/978-981-15-2767-8_5

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-15-2766-1

  • Online ISBN: 978-981-15-2767-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics