Skip to main content

Part of the book series: Springer Theses ((Springer Theses))

Abstract

With transistor dimension shrinking into nanoscale regime as described in the previous chapter, conventional gate oxide thickness has been scaled near the thickness limit of 1 nm. This scaling trend brings issues about power consumption, transistor density and off-leakage current, together with carrier mobility degradation. Furthermore, the continuous scaling-down of device dimensions and further performance enhancement are facing more and more severe challenges.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Chan V, Rengarajan R, Rovedo N, Jin W, Hook T, Nguyen P et al (2003) High speed 45 nm gate length CMOSFETs integrated into a 90 nm bulk technology incorporating strain engineering. In: IEEE international electron devices meeting, 2003. IEDM’03 Technical Digest, pp 3.8. 1–3.8. 4

    Google Scholar 

  2. Bai P, Auth C, Balakrishnan S, Bost M, Brain R, Chikarmane V et al (2004) A 65 nm logic technology featuring 35 nm gate lengths, enhanced channel strain, 8 Cu interconnect layers, low-k ILD and 0.57 μm 2 SRAM cell. In: IEEE international electron devices meeting, 2004. IEDM Technical Digest, pp 657–660

    Google Scholar 

  3. Chidambaram P, Smith B, Hall L, Bu H, Chakravarthi S, Kim Y et al (2004) 35 drive current improvement from recessed-SiGe drain extensions on 37 nm gate length PMOS. In: 2004 symposium on VLSI technology, 2004. Digest of technical papers, pp 48–49

    Google Scholar 

  4. Thompson SE, Armstrong M, Auth C, Cea S, Chau R, Glass G et al (2004) A logic nanotechnology featuring strained-silicon. IEEE Electron Dev Lett 25:191–193

    Article  ADS  Google Scholar 

  5. Thompson S, Anand N, Armstrong M, Auth C, Arcot B, Alavi M et al. (2002) A 90 nm logic technology featuring 50 nm strained silicon channel transistors, 7 layers of Cu interconnects, low k ILD, and 1/spl mu/m/sup 2/SRAM cell. In: International electron devices meeting, 2002. IEDM’02, pp 61–64

    Google Scholar 

  6. Mistry K, Allen C, Auth C, Beattie B, Bergstrom D, Bost M et al (2007) A 45 nm logic technology with high-k+ metal gate transistors, strained silicon, 9 Cu interconnect layers, 193 nm dry patterning, and 100% Pb-free packaging. In: IEEE international electron devices meeting, 2007. IEDM 2007, pp 247–250

    Google Scholar 

  7. Mohta N, Thompson SE (2005) Mobility enhancement. IEEE Circuits Dev Mag 21:18–23

    Article  Google Scholar 

  8. Pierret RF (1996) Semiconductor device fundamentals. Pearson Education India

    Google Scholar 

  9. Nayak DK, Chun SK (1994) Low-field hole mobility of strained Si on (100) Si1−xGex substrate. Appl Phys Lett 64:2514–2516

    Article  ADS  Google Scholar 

  10. Welser J, Hoyt J, Gibbons J (1994) Electron mobility enhancement in strained-Si n-type metal-oxide-semiconductor field-effect transistors. IEEE Electron Dev Lett 15:100–102

    Article  ADS  Google Scholar 

  11. Thompson S, Sun G, Wu K, Lim J, Nishida T (2004) Key differences for process-induced uniaxial vs. substrate-induced biaxial stressed Si and Ge channel MOSFETs. In: IEEE international electron devices meeting, 2004. IEDM Technical Digest, 2004, pp 221–224

    Google Scholar 

  12. Cheng Z-Y, Currie MT, Leitz CW, Taraschi G, Fitzgerald EA, Hoyt JL et al (2001) Electron mobility enhancement in strained-Si n-MOSFETs fabricated on SiGe-on-insulator (SGOI) substrates. IEEE Electron Dev Lett 22:321–323

    Article  ADS  Google Scholar 

  13. Takagi S, Hoyt JL, Welser JJ, Gibbons JF (1996) Comparative study of phonon-limited mobility of two-dimensional electrons in strained and unstrained Si metal-oxide-semiconductor field-effect transistors. J Appl Phys 80:1567–1577

    Article  ADS  Google Scholar 

  14. Kittel C (2005) Introduction to solid state physics. Wiley, New York

    Google Scholar 

  15. Fischetti MV, Laux SE (1996) Band structure, deformation potentials, and carrier mobility in strained Si, Ge, and SiGe alloys. J Appl Phys 80:2234–2252

    Article  ADS  Google Scholar 

  16. Vogelsang T, Hofmann K (1993) Electron transport in strained Si layers on Si1−xGex substrates. Appl Phys Lett 63:186–188

    Article  ADS  Google Scholar 

  17. Fischetti M, Ren Z, Solomon P, Yang M, Rim K (2003) Six-band k·p calculation of the hole mobility in silicon inversion layers: Dependence on surface orientation, strain, and silicon thickness. J Appl Phys 94:1079–1095

    Article  ADS  Google Scholar 

  18. Ge C-H, Lin C-C, Ko C-H, Huang C-C, Huang Y-C, Chan B-W et al (2003) Process-strained Si (PSS) CMOS technology featuring 3D strain engineering. In: IEEE international electron devices meeting, 2003. IEDM’03 Technical Digest, 2003, pp 3.7. 1–3.7. 4

    Google Scholar 

  19. Welser J, Hoyt J, Takagi S-I, Gibbons J (1994) Strain dependence of the performance enhancement in strained-Si n-MOSFETs. In International electron devices meeting, 1994. IEDM’94. Technical Digest, 1994, pp 373–376

    Google Scholar 

  20. Rim K, Chu J, Chen H, Jenkins K, Kanarsky T, Lee K et al. (2002) Characteristics and device design of sub-100 nm strained Si N-and PMOSFETs. In: 2002 symposium on VLSI technology, 2002. Digest of Technical Papers, 2002, pp 98–99

    Google Scholar 

  21. Sugii N, Hisamoto D, Washio K, Yokoyama N, Kimura SI (2002) Performance enhancement of strained-Si MOSFETs fabricated on a chemical-mechanical-polished SiGe substrate. IEEE Trans Electron Dev 49:2237–2243

    Article  ADS  Google Scholar 

  22. Antoniadis DA, Aberg I, Chleirigh CN, Nayfeh OM, Khakifirooz A, Hoyt JL (2006) Continuous MOSFET performance increase with device scaling: the role of strain and channel material innovations. IBM J Res Dev 50:363–376

    Article  Google Scholar 

  23. Rim K, Chan K, Shi L, Boyd D, Ott J, Klymko N et al (2003) Fabrication and mobility characteristics of ultra-thin strained Si directly on insulator (SSDOI) MOSFETs. In: International electron devices meeting, 2003, pp 49–52

    Google Scholar 

  24. Olubuyide O, Chléirigh CN, Lauer I, Antoniadis D, Li J, Hull R et al (2004) Electron and hole mobility enhancements in sub-10 nm-thick strained silicon directly on insulator fabricated by a bond and etch-back technique. In: 2004 symposium on VLSI technology, 2004. Digest of Technical Papers, 2004, pp 52–53

    Google Scholar 

  25. Auth C, Cappellani A, Chun J-S, Dalis A, Davis A, Ghani T et al (2008) 45 nm high-k+ metal gate strain-enhanced transistors. In: 2008 symposium on VLSI technology, pp 128–129

    Google Scholar 

  26. Thompson SE, Armstrong M, Auth C, Alavi M, Buehler M, Chau R et al (2004) A 90-nm logic technology featuring strained-silicon. IEEE Trans Electron Dev 51:1790–1797

    Article  ADS  Google Scholar 

  27. Ota K, Sugihara K, Sayama H, Uchida T, Oda H, Eimori T et al (2002) Novel locally strained channel technique for high performance 55 nm CMOS. In: International electron devices meeting, 2002. IEDM’02, pp 27–30

    Google Scholar 

  28. Boeuf F, Arnaud F, Basso M, Sotta D, Wacquant F, Rosa J et al (2004) A conventional 45 nm CMOS node low-cost platform for general purpose and low power applications. In: IEEE international electron devices meeting, 2004. IEDM Technical Digest, 2004, pp 425–428

    Google Scholar 

  29. Chen C-H, Lee T, Hou T, Chen C, Chen C, Hsu J et al (2004) Stress memorization technique (SMT) by selectively strained-nitride capping for sub-65 nm high-performance strained-Si device application. In: 2004 symposium on VLSI technology, 2004. Digest of Technical Papers, 2004, pp 56–57

    Google Scholar 

  30. Ortolland C, Morin P, Chaton C, Mastromatteo E, Populaire C, Orain S et al (2006) Stress memorization technique (SMT) optimization for 45 nm CMOS. In: 2006 symposium on VLSI technology, 2006. Digest of Technical Papers, 2006, pp 78–79

    Google Scholar 

  31. Eiho A, Sanuki T, Morifuji E, Iwamoto T, Sudo G, Fukasaku K et al (2007) Management of power and performance with stress memorization technique for 45 nm CMOS. In: 2007 IEEE symposium on VLSI technology, 2007, pp 218–219

    Google Scholar 

  32. Miyashita T, Owada T, Hatada A, Hayami Y, Ookoshi K, Mori T et al (2008) Physical and electrical analysis of the stress memorization technique (SMT) using poly-gates and its optimization for beyond 45-nm high-performance applications. In: IEEE international electron devices meeting, 2008. IEDM 2008, pp 1–4

    Google Scholar 

  33. Ito S, Namba H, Yamaguchi K, Hirata T, Ando K, Koyama S et al (2000) Mechanical stress effect of etch-stop nitride and its impact on deep submicron transistor design. In: International electron devices meeting, 2000. IEDM’00. Technical Digest, 2000, pp 247–250

    Google Scholar 

  34. Shimizu A, Hachimine K, Ohki N, Ohta H, Koguchi M, Nonaka Y et al (2001) Local mechanical-stress control (LMC): a new technique for CMOS-performance enhancement. In: International electron devices meeting, 2001. IEDM’01. Technical Digest, 2001, pp 19.4. 1–19.4. 4

    Google Scholar 

  35. Yang H, Malik R, Narasimha S, Li Y, Divakaruni R, Agnello P et al (2004) Dual stress liner for high performance sub-45 nm gate length SOI CMOS manufacturing. In: IEEE international electron devices meeting, 2004. IEDM Technical Digest, 2004, pp 1075–1077

    Google Scholar 

  36. Goto K, Satoh S, Ohta H, Fukuta S, Yamamoto T, Mori T et al (2004) Technology booster using strain-enhancing laminated SiN (SELS) for 65 nm node HP MPUs. In: IEEE international electron devices meeting, 2004. IEDM Technical Digest, 2004, pp 209–212

    Google Scholar 

  37. Pidin S, Mori T, Nakamura R, Saiki T, Tanabe R, Satoh S et al (2004) MOSFET current drive optimization using silicon nitride capping layer for 65-nm technology node. In: Symposium on VLSI technology, 2004. Digest of Technical Papers, 2004, pp 54–55

    Google Scholar 

  38. Noori AM, Balseanu M, Boelen P, Cockburn A, Demuynck S, Felch S et al (2008) Manufacturable processes for 32-nm-node CMOS enhancement by synchronous optimization of strain-engineered channel and external parasitic resistances. IEEE Trans Electron Dev 55:1259–1264

    Article  ADS  Google Scholar 

  39. Tan K-M, Zhu M, Fang W-W, Yang M, Liow T-Y, Lee R T et al (2007) A new liner stressor with very high intrinsic stress (≫6 GPa) and low permittivity comprising diamond-like carbon (DLC) for strained P-channel transistors. In: IEEE international electron devices meeting, 2007. IEDM 2007, pp 127–130

    Google Scholar 

  40. Yang BF, Ren Z, Takalkar R, Black LR, Dube A, Weijtmans J et al (2008) Recent progress and challenges in enabling embedded Si: C technology. ECS Trans 16:317–323

    Article  Google Scholar 

  41. Steegen A, Stucchi M, Lauwers A, Maex K (1999) Silicide induced pattern density and orientation dependent transconductance in MOS transistors. In: International electron devices meeting, 1999. IEDM’99. Technical Digest, 1999, pp 497–500

    Google Scholar 

  42. Steegen A, Maex K (2002) Silicide-induced stress in Si: origin and consequences for MOS technologies. Mater Sci Eng R Rep 38:1–53

    Article  Google Scholar 

  43. Kang C, Choi R, Song S, Choi K, Ju B, Hussain M et al (2006) A novel electrode-induced strain engineering for high performance SOI FinFET utilizing Si (110) channel for both N and PMOSFETs. In: International electron devices meeting, 2006. IEDM’06, pp 1–4

    Google Scholar 

  44. Mayuzumi S, Wang J, Yamakawa S, Tateshita Y, Hirano T, Nakata M et al (2007) Extreme high-performance n-and p-MOSFETs boosted by dual-metal/high-k gate damascene process using top-cut dual stress liners on (100) substrates. In: IEEE international electron devices meeting, 2007. IEDM 2007, pp 293–296

    Google Scholar 

  45. Wang J, Tateshita Y, Yamakawa S, Nagano K, Hirano T, Kikuchi Y et al (2007) Novel channel-stress enhancement technology with eSiGe S/D and recessed channel on damascene gate process. In: 2007 IEEE symposium on VLSI technology, 2007, pp 46–47

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Guilei Wang .

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Singapore Pte Ltd.

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Wang, G. (2019). Strained Silicon Technology. In: Investigation on SiGe Selective Epitaxy for Source and Drain Engineering in 22 nm CMOS Technology Node and Beyond. Springer Theses. Springer, Singapore. https://doi.org/10.1007/978-981-15-0046-6_2

Download citation

  • DOI: https://doi.org/10.1007/978-981-15-0046-6_2

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-15-0045-9

  • Online ISBN: 978-981-15-0046-6

  • eBook Packages: Physics and AstronomyPhysics and Astronomy (R0)

Publish with us

Policies and ethics