Skip to main content

FPGA Structure

  • Chapter
  • First Online:
Principles and Structures of FPGAs

Abstract

Here, each component in FPGAs is introduced in detail. First, the logic block structures with LUTs are introduced. Unlike classic logic blocks using a couple of 4-input LUTs and flip-flops, recent FPGAs use adaptive LUTs with more number of inputs and dedicated carry logics. Cluster structure is also introduced. Then, routing structure, switch block, connection block, and I/O block which connect basic logic blocks are explained. Next, macromodules which have become critical components of FPGA are introduced. Computation centric DSP block, hard-core processor, and embedded memory can compensate the weak point of random logics with logic blocks. The configuration is inevitable step to use SRAM-style FPGAs. Various methods to lighten burden are introduced here. Finally, PLL and DLL to deliver clock signals in the FPGA are introduced. This chapter treats most of FPGA components with examples of recent real devices by Xilinx and Altera (Intel).

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 149.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 199.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 199.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    This figure is plotted based on the data presented in [5].

  2. 2.

    In Altera FPGAs, adaptive LUTs are also called fracturable LUTs.

References

  1. I. Kuon, R. Tessier, J. Rose, FPGA architecture: survey and challenges. Foundat. Trends Electron. Des. Automat. 2(2), 135–253 (2008)

    Article  Google Scholar 

  2. J.S. Rose, R.J. Francis, D. Lewis, P. Chow, Architecture of field-programmable gate arrays: the effect of logic block functionality on area efficiency. IEEE J. Solid-State Circ. 25(5), 1217–1225 (1990)

    Article  Google Scholar 

  3. Xilinx Corporation, Virtex 4 family overview DS112 (Ver.1.4), Mar 2004

    Google Scholar 

  4. Altera Corporation, Stratix Device Handbook, vol. 1 (2005)

    Google Scholar 

  5. E. Ahmed, J. Rose, The effect of LUT and cluster size on deep-submicron FPGA performance and density, IEEE Trans. Very Large Scale Integrat. (VLSI) Syst. 12(3) (2004)

    Google Scholar 

  6. Altera Corporation, Stratix V Device Handbook, Device Interfaces and Integration vol. 1 (2014)

    Google Scholar 

  7. J. Lamoureux, S.J.E. Wilton, On the interaction between power-aware computer-aided design algorithms for field-programmable gate arrays. J. Low Power Electron. (JOLPE) 1(2), 119–132 (2005)

    Article  Google Scholar 

  8. UCLA VLSI CAD Lab, The RASP Technology Mapping Executable Package, http://cadlab.cs.ucla.edu/software_release/rasp/htdocs

  9. Xilinx Corporation, XC4000XLA/XV Field Programmable Gate Array Version 1.6 (1999)

    Google Scholar 

  10. J. He, J. Rose, Advantages of heterogeneous logic block architectures for FPGAs, in Proceedings of IEEE Custom Integrated Circuits Conference (CICC 93), May 1993, pp. 7.4.1–7.4.5

    Google Scholar 

  11. Altera Corporation, Stratix II Device Handbook, vol. 1. Device Interfaces and Integration (2007)

    Google Scholar 

  12. Xilinx Corporation, Virtex 5 User Guide UG190 Version 4.5, Jan 2009

    Google Scholar 

  13. J. Luu, J. Geoeders. M. Wainberg, An Somevile, T. Yu, K. Nasartschuk, M. Nasr, S. Wang, T. Liu, N. Ahmed, K.B. Kent, J. Anderson, J. Rose, V. Betz:VTR 7.0: next generation architecture and CAD System for FPGAs. ACM Trans. Reconfig. Technol. Syst. (TRETS), 7(2), Article No. 6 (2014)

    Google Scholar 

  14. D. Lewis, B. Pedersen, S. Kaptanoglu, A. Lee, Fracturable Lookup Table and Logic Element, US 6,943,580 B2, Sept 2005

    Google Scholar 

  15. M. Chirania, V M. Kondapalli, Lookup Table Circuit Optinally Configurable as Two or More Smaller Lookup Tables With Independent Inputs, US 6,998,872 B1, Feb 2006

    Google Scholar 

  16. T. Sueyoshi, M. Iida, Programmable Logic Circuit Device Having Lookup Table Enabling To Reduce Implementation Area, US 6,812,737 B1, Nov 2004

    Google Scholar 

  17. S. Brown, R. Francis, J. Rose, X.G. Vranesic, Field-Programmable Gate Arrays, (Luwer Academic Publishers, 1992)

    Google Scholar 

  18. J.M. Birkner, H.T. Chua, Programmable Array Logic Circuit, US. 4,124,899, Nov 1978

    Google Scholar 

  19. Actel Corporation: ACT 1 Series FPGAs (1996), http://www.actel.com/documents/ACT1DS.pdf

  20. W. Tsu, K. Macy, A. Joshi, R. Huang, N. Waler, T. Tung, O. Rowhani, V. George, J. Wawizynek, A. Dehon, HSRA: high-speed, hierarchical synchronous reconfigurable array, in Proceedings of International ACM Symposium on Field-Programmable Gate Arrays (FPGA), pp. 125–134, Feb 1999

    Google Scholar 

  21. Altera Corporation, FLEX 10K Embedded Programmable Logic Device Family, DS-F10K-4.2 (2003)

    Google Scholar 

  22. Altera Corporation, APEX 20K Programmable Logic Device Family Data Sheet, DS-APEX20K-5.1 (2004)

    Google Scholar 

  23. Altera Corporation, APEX II Programmable Logic Device Family Data Sheet, DS-APEXII-3.0 (2002)

    Google Scholar 

  24. I. Kuon, A. Egier, J. Rose, Design, layout and verification of an fpga using automated tools, in Proceedings of International ACM Symposium on Field-Programmable Gate Arrays (FPGA), pp. 215–216, Feb 2005

    Google Scholar 

  25. Q. Zhao, K. Inoue, M. Amagasaki, M. Iida, M. Kuga, T. Sueyoshi, FPGA design framework combined with commercial VLSI CAD, IEICE Trans. Informat. Syst. E96-D(8), 1602–1612 (2013)

    Google Scholar 

  26. G. Lemieux, D. Lewis, Design of Interconnection Networks for Programmable Logic, (Springer, formerly Kluwer Academic Publishers, 2004)

    Google Scholar 

  27. D. Lewis, E. Ahmed, G. Baeckler, V. Betz, M. Bourgeault, D. Galloway, M. Hutton, C. Lane, A. Lee, P. Leventis, C. Mcclintock, K. Padalia, B. Pedersen, G. Powell, B. Ratchev, S. Reddy, J. Schleicher, K. Stevens, R. Yuan, R. Cliff, J. Rose, The stratix II logic and routing architecture, in Proceedings of the ACM/SIGDA 13th International Symposium on Field-Programmable Gate Arrays (FPGA), pp. 14–20, Feb 2005

    Google Scholar 

  28. V. Betz, J. Rose, FPGA routing architecture: segmentation and buffering to optimize speed and density, in Proceedings of the ACM/SIGDA International symposium on Field-Programmable Gate Arrays (FPGA), pp. 140–149, Feb 2002

    Google Scholar 

  29. M. Sheng, J. Rose, Mixing bufferes and pass transistors in FPGA routing architectures, in Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), pp. 75-84, Feb 2001

    Google Scholar 

  30. C. Chiasson, V. Betz, Should FPGAs abandon the pass gate?, in Proceedings of IEEE International Conference on Field-Programmable Logic and Applications (FPL) (2013)

    Google Scholar 

  31. E. Lee, G. Lemieux, S. Mirabbasi, Interconnect driver design for long wires in field-programmable gate arrays. J. Signal Process. Syst. 51(1) (2008)

    Google Scholar 

  32. Y.L. Wu, M. Marek-Sadowska, Orthogonal greedy coupling—a new optimization approach for 2-D field-programmable gate array, in Proceedings of the ACM/IEEE Design Automation Conference (DAC), pp. 568–573, June 1995

    Google Scholar 

  33. Y.W. Chang, D.F. Wong, C.K. Wong, Universal switch-module design for symmetric-array-based FPGAs. ACM Trans. Des. Automat. Electron. Syst. 1(1), 80–101 (1996)

    Article  Google Scholar 

  34. S. Wilton, Architectures and Algorithms for Field-Programmable Gate Arrays with Embedded Memories, Ph.D. thesis, University of Toronto, Department of Electrical and Computer Engineering (1997)

    Google Scholar 

  35. K. Inoue, M. Koga, M. Amagasaki, M. Iida, Y. Ichida, M. Saji, J. Iida, T. Sueyoshi, An easily testable routing architecture and prototype chip. IEICE Trans. Informat. Syst. E95-D(2), 303–313 (2012)

    Google Scholar 

  36. M. Amagasaki, K. Inoue, Q. Zhao, M. Iida, M. Kuga, T. Sueyoshi, Defect-robust FPGA architectures for intellectual property cores in system LSI, inProceedings of International Conference on Field Programmable Logic and Applications (FPL), Session M1B-3, Sept 2013

    Google Scholar 

  37. G. Lemieux, D. Lewis, Circuit design of FPGA routing switches, in Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA) pp. 19–28, Feb 2002

    Google Scholar 

  38. M. Smith, Application-Specific Integrated Circuits, Addison-Wesley Professional (1997)

    Google Scholar 

  39. Altera Corporation, Stratix III Device Handbook, vol. 1. Device Interfaces and Integration (2006)

    Google Scholar 

  40. S. Trimberger, Field-Programmable Gate Array Technology (Kluwer, Academic Publishers, 1994)

    Book  Google Scholar 

  41. K. Veenstra, B. Pedersen, J. Schleicher, C. Sung, Optimizations for highly cost-efficient programmable logic architecture, in Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), pp. 20–24, Feb 1998

    Google Scholar 

  42. F. Heile, A. Leaver, K. Veenstra, Programmable memory blocks supporting content-addressable memory, in Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), pp. 13–21, Feb 2000

    Google Scholar 

  43. D. Lewis, V. Betz, D. Jefferson, A. Lee, C. Lane, P. Leventis, S. Marquardt, C. McClintock, V. Pedersen, G. Powell, S. reddy, C. Wysocki, R. Cliff, J. Rose, The stratix routing and logic architecture, in Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), pp. 15–20, Feb 2003

    Google Scholar 

  44. M. Hutton, J. Schleicher, D. Lewis, B. Pedersen, R. Yuan, S. Kaptanoglu, G. Baeckler, B. Ratchev, K. Padalia, M. Bougeault, A. Lee, H. Kim, R. Saini, Improving FPGA performance and area using an adaptive logic module, in Proceedings of International Conference on Field Programmable Logic and Applications (FPL), pp. 135–144, Sept 2013

    Google Scholar 

  45. V. AkenOva, G. Lemieus, R. Saleh, An improved “soft” eFPGA design and implementation strategy, in Proceedings of IEEE Custom Integrated Circuits Conference, pp. 18–21, Sept 2005

    Google Scholar 

  46. D. Lewis, E. Ahmed, D. Cashman, T. Vanderhoek, C. Lane, A. Lee, P. Pan, Architectural enhancements in Stratix-III and Stratix-IV, in Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), pp. 33–41, Feb 2009

    Google Scholar 

  47. S. Chandrakar, D. Gaitonde, T. Bauer, Enhancements in ultraScale CLB architecture, in Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), pp. 108–116, Feb 2015

    Google Scholar 

  48. V. Betz, J. Rose, A. Marquardt, Architecture and CAD for Deep-Submicron FPGAs, (Kluwer Academic Publishers, 1999)

    Google Scholar 

  49. G. Lemieux, D. Lewis, Circuit design of FPGA routing switches, in Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), pp. 19–28, Feb 2002

    Google Scholar 

  50. K. Ian, J. Rose, Quantifying and Exploring the Gap Between FPGAs and ASICs (Springer, 2009)

    Google Scholar 

  51. W.S. Carter, Special Interconnect for Configurable Logic Array, US4,642,487, Feb 1987

    Google Scholar 

  52. R.H. Freeman, Configurable Electrical Circuit Having Configurable Logic Elements and Configurable Interconnects, US4,870,302, Sept 1989

    Google Scholar 

  53. B.B. Pedersen, R.G. Cliff, B. Ahanin, C.S. Lyte, F.B. Helle, K.S. Veenstra, Programmable Logic Element Interconnections for Programmable Logic Array Integrated Circuits, US5,260,610, Nov 1993

    Google Scholar 

  54. R.H. Freeman, H.C. Hsieh, Distributed Memory Architecture For A Configurable Logic Array and Method for Using Distributed Memory, US5,343,406, Aug 1994

    Google Scholar 

  55. T.A. Kean, Hierarchically Connectable Configurable Cellular Array, US5,469,003, Nov 1995

    Google Scholar 

  56. K.S. Veenstra, Universal Logic Module With Arithmetic Capabilities, US5,436,574, Jul 1995

    Google Scholar 

  57. R.G. Cliff, L. ToddCope, C.R. McClintock, W. Leong, J.A. Watson, J. Huang, R. Ahanin, Programmable Logic Array Integrated Circuits, US5,550,782, Aug 1996

    Google Scholar 

  58. K.M. Pierce, C.R. Erickson, C.T. Huang, D.P. Wieland, Interconnect Architecture for Field Programmable Gate Array Using Variable Length Conductors, US5,581,199, Dec 1996

    Google Scholar 

  59. T.J. Bauer, Lookup Tables Which Bouble as Shift Registers, US5,889,413, May 1999

    Google Scholar 

  60. K.M. Pierce, C.R. Erickson, C.T. Huang, D.P. Wieland, I/O Buffer Circuit With Pin Multiplexing, US6,020,760, Feb 2000

    Google Scholar 

  61. Xilinx Corporation, Virtex-II Platform FPGAs: Complete Data Sheet, DS031 (v4.0) Apr 2014

    Google Scholar 

  62. Xilinx Corporation, 7 Series DSP48E1 Slice User Guide, UG479 (v1.8), Nov 2014

    Google Scholar 

  63. U. Sinha, Enabling Impactful DSP Designs on FPGAs with Hardened Floating-Point Implementation, Altera White Paper, WP-01227-1.0, Aug 2014

    Google Scholar 

  64. Xilinx Corporation, MicroBlaze Processor Reference Guide, UG984 (v2014.1), Apr 2014

    Google Scholar 

  65. Altera Corporation, Nios II Gen2 Processor Reference Guide, NII5V1GEN2 (2015.04.02), Apr 2015

    Google Scholar 

  66. R. Jia et al., A survey of open source processors for FPGAs, in Proceedings of International Conference on Field Programmable Logic and Applications (FPL), pp. 1–6, Sept 2014

    Google Scholar 

  67. M. Santarini, Zynq-7000 EPP sets stage for new era of innovations. Xcell J. Xilinx 75, 8–13 (2011)

    Google Scholar 

  68. Xilinx Corporation, 7 Series FPGAs Memory Resources UG473 (v1.11) Nov 2014

    Google Scholar 

  69. Xilinx Corporation, 7 Series FPGAs Clocking Resources User Guide, UG472 (v1.11.2) Jan 2015

    Google Scholar 

  70. J. Tatsukawa, MMCM and PLL Dynamic Reconfiguration, Xilinx Application Note: 7 Series and UltraScale FPGAs, XAPP888 (v1.4), Jul 2015

    Google Scholar 

  71. Xilinx Corporation, Using the Virtex Delay-Locked Loop, Application Notes: Virtex Series, XAPP132 (v2.3) Sept 2000

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Motoki Amagasaki .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer Nature Singapore Pte Ltd.

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Amagasaki, M., Shibata, Y. (2018). FPGA Structure. In: Amano, H. (eds) Principles and Structures of FPGAs. Springer, Singapore. https://doi.org/10.1007/978-981-13-0824-6_3

Download citation

  • DOI: https://doi.org/10.1007/978-981-13-0824-6_3

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-13-0823-9

  • Online ISBN: 978-981-13-0824-6

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics