Skip to main content

Concurrency Control Algorithms for Translation Lookaside Buffer

  • Conference paper
  • First Online:
Information and Communication Technology for Competitive Strategies

Part of the book series: Lecture Notes in Networks and Systems ((LNNS,volume 40))

  • 1070 Accesses

Abstract

A multiprocessor which shares the memory among processors and uses multiples translation lookaside buffers (TLBs) can face various problems. One such problem is the problem of inconsistency which may occur when the page table entry (PTE) is updated because of the multiple copies of same page table entry in various TLBs. Commonly, the inconsistency problem exists in virtually tagged caches, which keep page table entry information, like reference bit, dirty bit and protection bit, in every cache line (Agarwal et al Inconsistency in translation lookaside buffer, 2016 [1]). This paper presents concurrency control algorithms for translation lookaside buffer. We focus on algorithms that reduce the access rights to a page without causing the TLB inconsistency problem.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Agarwal, M., et al.: Inconsistency in Translation Lookaside Buffer. IEEE Xplore, 19 Nov 2016

    Google Scholar 

  2. McCurdy, C., et al.: Investigating the TLB behaviour of high-end scientific applications on commodity microprocessors. In: ISPASS’08, Washington, DC, USA, pp. 95–104. IEEE Computer Society (2008)

    Google Scholar 

  3. Rosenhurg, B.: Low-synchronization translation lookaside buffer consistency in large-scale shared memory multiprocessors. In: Proceedings of 13th Symposium Operating Systems Principles, pp. 137–146 (1989)

    Google Scholar 

  4. Kitchens, K.: Predicting and prefetching TLB entries from irregular access streams, 8 Dec 2013

    Google Scholar 

  5. Jaleel, A., Jacob, B.: In-line interrupt handling for software managed TLBs. In: 2001 IEEE International Conference on Computer Design (ICCD 2001), Austin TX, September 2001

    Google Scholar 

  6. Agarwal, M., et al.: A Survey on translation look aside buffer. In: National Conference on “Information & Communication Technology” organized by Sir Padampat Singhania University, Udaipur dated 5–6 March 2010

    Google Scholar 

  7. Jacob, B.L., Mudge, T.N.: A look at several memory-management units, TLB-refill mechanisms, and page table organizations. In: Eighth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS’98), San Jose CA, pp. 295–306, October 1998

    Google Scholar 

  8. Black, O.D., et al.: Translation lookaside buffer consistency: a software approach. In: Proceedings of Third International Conference Architectural Support for Programming languages and Operating Systems, pp. 113–122 (1989)

    Google Scholar 

  9. Adya, A., et al.: Efficient optimistic concurrency control using loosely synchronized clocks. In: ACM SIGMOD International Conference on Management of Data, San Jose, CA, May 1995

    Google Scholar 

  10. Sohi, G.S., Vajapeyam, S.: Instruction issue logic for high-performance, interruptable pipelined processors. In: 14th Annual International Symposium on Computer Architecture (ISCA’87), June 1987

    Google Scholar 

  11. Smith, J.E., Pleszkun, A.R.: Implementation of precise interrupts in pipelined processors. In: 12th Annual International Symposium on Computer Architecture (ISCA’85), Boston MA, pp. 36–44, June 1985

    Google Scholar 

  12. Moudgill, M., Vassiliadis, S.: Precise interrupts. IEEE Micro 16(1), 58–67, February 1996

    Google Scholar 

  13. Zilles, C.B., Emer, J.S., Sohi, G.S.: Concurrent event-handling through multithreading. IEEE Trans. Comp. 48(9), pp 903–916, September 1999

    Google Scholar 

  14. Nagle, D., et al.: Design tradeoffs for soft-ware-managed TLBs. In: 20th Annual International Symposium on Computer Architecture (ISCA’93), May 1993

    Google Scholar 

  15. Abdoos, M.: Improved deadlock prevention algorithms in distributed systems. IJEACS 02(02), February 2017

    Google Scholar 

  16. Agarwal, M., et al.: Effect of TLB on system performance. In: ACM International Conference Proceeding Series, 05 March 2016

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Manisha Agarwal .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Agarwal, M., Jailia, M. (2019). Concurrency Control Algorithms for Translation Lookaside Buffer. In: Fong, S., Akashe, S., Mahalle, P. (eds) Information and Communication Technology for Competitive Strategies. Lecture Notes in Networks and Systems, vol 40. Springer, Singapore. https://doi.org/10.1007/978-981-13-0586-3_19

Download citation

  • DOI: https://doi.org/10.1007/978-981-13-0586-3_19

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-13-0585-6

  • Online ISBN: 978-981-13-0586-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics