Skip to main content

A Comparative Analysis of Copper and Carbon Nanotubes-Based Global Interconnects in 32 nm Technology

  • Conference paper
  • First Online:
Proceedings of Fifth International Conference on Soft Computing for Problem Solving

Part of the book series: Advances in Intelligent Systems and Computing ((AISC,volume 436))

Abstract

At a high-pace advancements in the technologies today and their ubiquitous use, speed and size, has been the important aspects in VLSI interconnect. Channel length of device decreases to tens of nanometers, as the technology is shifting to the deep submicron level. Hence, the die size and device density of the circuit increase rapidly. This increase makes the requirement of long interconnects in VLSI chips. Long interconnects lead to increase in propagation delay of the signal. In deep submicron meter VLSI technologies, it has become increasingly difficult for conventional copper-based electrical interconnects to gratify the design requirements of delay, power, and bandwidth. Promising candidate to solve this problem is carbon nanotube (CNT). In this paper, the prospects of carbon nanotubes (CNT) as global interconnects for future VLSI Circuits have been examined. Due to high thermal conductivity and large current carrying capacity, CNTs are favored over copper as VLSI future interconnects. The energy, power, propagation delay, and bandwidth of CNT bundle interconnects have been examined and compared with that of the Cu interconnects at the 32-nm technology node at two different global interconnects lengths. The simulation has been carried out using HSPICE circuit simulator with a transmission line model at 200 and 1000 μm lengths. The results show that power consumption and energy of CNT-based interconnects are reduced by 66.49 and 66.86 %, respectively, at 200 μm length in comparison with the Cu-based Interconnects. At 1000 μm length, a reduction of 43.90 and 44.04 % has been observed in power consumption and energy, respectively, using CNT interconnects. Furthermore, the propagation delay is reduced approximately 61.17 % for 200 μm and 69.13 % for 1000 μm length while the bandwidth increases up to 90 %. This work suggests single-wall carbon nanotubes (SWCNT) bundle interconnects for global interconnects in VLSI designing as they devour low energy and are faster when compared with conventional copper wires.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Joshi, A., Soni, G.: A comparative analysis of copper and carbon nanotubes based global interconnects. Int. J. Eng. Manag. Sci. (Alied Journals-IJEMS) ISSN-2348–3733, 2(5), (2015)

    Google Scholar 

  2. Rajasekaran, M.K.R.: Carbon nanotubes as interconnect for next generation network on chip. M.S. Thesis, Department of Electrical Engineering, The University of New Mexico, New Mexico (2012)

    Google Scholar 

  3. Das, D., Rahaman, H.: Analysis of crosstalk in single- and multiwall carbon nanotube interconnects and its impact on gate oxide reliability. IEEE Trans. Nanotechnol. 10(6), 1362, 1370 (2011)

    Google Scholar 

  4. Soni, G.: Performance evaluation of carbon nanotube based devices and circuits for VLSI design. M. Tech. Thesis, Department of Electronics and Communication Engineering, MNIT, Jaipur (2013)

    Google Scholar 

  5. Banerjee, K., Mehrotra, A.: A power-optimal repeater insertion methodology for global interconnects in nanometer designs. IEEE Trans. Electron Dev. 49(11), 2001, 2007 (2002)

    Google Scholar 

  6. Rabeay, J.M., Chandrakasan, A., Nikolic, B.: Digital Integrated Circuits. Prentice Hall, New York (2003)

    Google Scholar 

  7. Srivastava, N., Banerjee, K.: Performance analysis of carbon nanotube interconnects for VLSI applications. In: IEEE/ACM International Conference on Computer-Aided Design, 2005. ICCAD-2005. pp. 383, 390, 6–10 Nov 2005

    Google Scholar 

  8. Aswatha, A.R., Basavaraju, T.: Faster delay modeling and power optimization for on-chip global interconnects. In: IEEE International Conference on Semiconductor Electronics, 2008. ICSE 2008. pp. 82, 86, 25–27 Nov 2008

    Google Scholar 

  9. Aswatha, A.R., Basavaraju, T., Kalpana, A.B.: Efficient power modeling for on-chip global interconnects. In: 51st Midwest Symposium on Circuits and Systems, 2008. MWSCAS 2008, pp. 458, 461, 10–13 Aug 2008

    Google Scholar 

  10. Alam, N., Kureshi, A.K., Hasan, M., Arslan, T.: Carbon nanotube interconnects for low-power high-speed applications. In: IEEE International Symposium on Circuits and Systems, 2009. ISCAS 2009, pp. 2273, 2276, 24–27 May 2009

    Google Scholar 

  11. Alam, N., Kureshi, A.K., Hasan, M., Arslan, T.: Performance comparison and variability analysis of CNT bundle and Cu interconnects. In: International Multimedia, Signal Processing and Communication Technologies, 2009. IMPACT ‘09. pp. 169, 172, 14–16 March 2009

    Google Scholar 

  12. Koo, K.-H., Cho, H., Kapur, P., Saraswat, K.C.: Performance comparisons between carbon nanotubes, optical, and Cu for future high-performance on-chip interconnect applications. IEEE Trans. Electron Dev. 54(12), 3206, 3215 (2007)

    Google Scholar 

  13. Bartur, M., Nicolet, M.-A.: Utilization of NiSi2 as an interconnect material for VLSI. Electron Dev. Lett. IEEE 5(3), 88, 90 (1984)

    Google Scholar 

  14. Bhatia, H.S.: A comparative study of delay analysis for carbon nanotube and copper based VLSI interconnect models, M. Tech. Thesis, Department of Electronics And Communication Engineering, Thapar University, Patiala (2011)

    Google Scholar 

  15. Li, H., Yin, W.-Y., Mao, J.-F.: Modeling of carbon nanotube interconnects and comparative analysis with Cu interconnects. In: Proceedings of the Asia-Pacific Microwave Conference, 2006. APMC 2006, pp. 1361, 1364, 12–15 Dec 2006

    Google Scholar 

  16. Cho, H., Koo, K.-H., Kapur, P., Saraswat, K.C.: The delay, energy, and bandwidth comparisons between copper, carbon nanotube, and optical interconnects for local and global wiring application. In: International Interconnect Technology Conference, IEEE 2007, pp. 135, 137, 4–6 June 2007

    Google Scholar 

  17. Cho, H., Koo, K.-H., Kapur, P., Saraswat, K.C.: Performance comparisons between Cu/low-κ, carbon-nanotube, and optics for future on-chip interconnects. Electron Dev. Lett. IEEE 29(1), 122, 124 (2008)

    Google Scholar 

  18. International Technology Roadmap for Semiconductors. http://public.itrs.net/ (2007)

  19. International Technology Roadmap for Semiconductors, 2013. [Online] Available: http://public.itrs.net/

  20. Majumder, M.K., Das, P.K., Kaushik, B.K., Manhas, S.K.: Optimized delay and power performances for multi-walled CNT in global VLSI interconnects. In: 2012 5th International Conference on Computers and Devices for Communication (CODEC), pp. 1, 4, 17–19 Dec 2012

    Google Scholar 

  21. Majumder, M.K., Kaushik, B.K.; Manhas, S.K.: Comparison of propagation delay characteristics for single-walled CNT bundle and multiwalled CNT in global VLSI interconnects. In: Recent Advances in Intelligent Computational Systems (RAICS), 2011 IEEE, pp. 911, 916, 22–24 Sept 2011

    Google Scholar 

  22. Majumder, M.K., Pandya, B.D., Kaushik, B.K., Manhas, S.K.: Analysis of MWCNT and bundled SWCNT interconnects: impact on crosstalk and area. Electron Dev. Lett. IEEE 33(8), 1180, 1182 (2013)

    Google Scholar 

  23. Murugeswari, P., Kabilan, A.P., Vaishnavi, M., Divya, C.: Performance analysis of single-walled carbon nanotube and multi-walled carbon nanotube in 32 nm technology for on-chip interconnect applications. In: International Conference on Computing, Communication and Networking Technologies (ICCCNT), 2014, pp. 1, 6, 11–13 July 2014

    Google Scholar 

  24. Sahoo, M., Rahaman, H.: Performance analysis of multiwalled carbon nanotube bundles. In: 2013 IEEE XXXIII International Scientific Conference Electronics and Nanotechnology (ELNANO), pp. 200, 204, 16–19 April 2013

    Google Scholar 

  25. Srivastava, N., Joshi, R.V., Banerjee, K.: Carbon nanotube interconnects: implications for performance, power dissipation and thermal management. In: IEEE International Electron Devices Meeting, 2005. IEDM Technical Digest. pp. 249, 252, 5–5 Dec 2005

    Google Scholar 

  26. Parihar, T., Sharma, A.: A comparative study of Mixed CNT bundle with Copper for VLSI Interconnect at 32 nm. Int. J. Eng. Trends Technol. 4(4), 606–610 (2013)

    Google Scholar 

  27. Parihar, T., Sharma, A., Parihar, D.: A comparative delay analysis of copper interconnect with future candidate CNT. Int. J. Curr. Eng. Technol. 3(2), 606–610 (2013)

    Google Scholar 

  28. Duksh, Y.S., Kaushik, B.K., Sarkar, S., Singh, R.: Analysis of propagation delay and power with variation in driver size and number of shells in multi walled carbon nanotube interconnects. J. Eng. Des. Technol. 11(1), 19–33 (2013)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Arti Joshi .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer Science+Business Media Singapore

About this paper

Cite this paper

Arti Joshi, Gaurav Soni (2016). A Comparative Analysis of Copper and Carbon Nanotubes-Based Global Interconnects in 32 nm Technology. In: Pant, M., Deep, K., Bansal, J., Nagar, A., Das, K. (eds) Proceedings of Fifth International Conference on Soft Computing for Problem Solving. Advances in Intelligent Systems and Computing, vol 436. Springer, Singapore. https://doi.org/10.1007/978-981-10-0448-3_35

Download citation

  • DOI: https://doi.org/10.1007/978-981-10-0448-3_35

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-10-0447-6

  • Online ISBN: 978-981-10-0448-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics