Skip to main content

Part of the book series: NATO ASI Series ((NSSE,volume 249))

Abstract

Analog simulation was first applied to the analysis of discrete circuits, but it rapidly evolved to handle integrated circuits (IC) as well. Analog simulation was used for a long time to verify the behavior of small to medium-size electrical circuits (up to a few hundreds of transistors). However, due to the growing complexity of ICs, it became necessary to develop new analysis techniques capable of handling huge amounts of data efficiently and of allowing more abstract models for the circuit components. Typically, not all parts of an electrical circuit need to be simulated at the same level or at the same accuracy during the same run. Mixed-level simulation allows one to describe parts of the circuit at different levels of details and to simulate them accordingly, while mixed-mode simulation uses digital and analog simulation techniques simultaneously. VHDL is now gaining a lot of popularity as a powerful way to model, simulate, and synthesise digital hardware. Analog extensions to VHDL are currently under study and they have to take into account analog and mixed-level/mixed-mode simulation techniques.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 259.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 329.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 329.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

Similar content being viewed by others

References

  1. E. Acuna, J. Dervenis, A. Pagones, R. Saleh, “ÌSPLICE3: A New Simulator for Mixed Analog/ Digital Circuits,” Custom Integrated Circuits Conference Digest of paper, May 1989, pp. 13.1.1-13.1.4.

    Google Scholar 

  2. P.E. Allen, “A Tutorial -Computer-Aided Design of Analog Integrated Circuits”, Proc. CICC’86, pp. 608–616, 1986.

    Google Scholar 

  3. P.E. Allen, “Current State of the Art in Non-Traditional Analog Circuit Simulation”, Proc. IEEE ISCAS’92, pp. 69–72, San Diego, May 1992.

    Google Scholar 

  4. Donald C. Augustin, Mark S. Fineberg, Bruce B. Johnson, Rober N. Linebarger, F. John Sansom, and Jon C. Strauss, “The SCi Continuous System Simulation Language (CSSL)”, Simulation, Vol. 9, pp. 281–303, 1967.

    Article  Google Scholar 

  5. J.F. Beetem, P. Debefve, W. Donath, H.Y. Hsieh, F. Odeh, A.E. Ruehli, J. White, P.K. Wolff Sr, “A Large Scale MOSFET Circuit Analyzer Based on Waveform Relaxation”, Proc. 1984 IEEE ICCD, Port Chester, New York, pp. 507–514, October 1984.

    Google Scholar 

  6. Charles Belove, Handbook of Modern Electronics and Electrical Engineering, John Wiley & Sons; 1986.

    Google Scholar 

  7. R. Bowman, “Analog Integrated Circuit Design Conceptualization”, in Introduction to Analog Design Automation, M. Ismail & J. Franca ed., pp. 1–27, Kluwer Academic Publishers, 1990.

    Chapter  Google Scholar 

  8. C.-H. Carlin, A. Vachoux, “MOSART: A Large Scale Time-Domain Simulator Based on Waveform Relaxation”, Proc. 1987 ECCTD, Paris, France, pp. 227–232, September 1987.

    Google Scholar 

  9. G. Casinovi, A.L Sangiovanni-Vincentelli, “A Macromodeling Algorithm for Analog Circuits”, IEEE Trans, on CAD, vol. 10, no. 2, pp. 150–160, February 1991.

    Google Scholar 

  10. B.R. Chawla, H.K. Gummel, P. Kozak, “MOTIS -An MOS Timing Simulator”, IEEE Trans, on Circuits and Systems, vol. CAS-22, no. 12, pp. 901–910, December 1975.

    Article  Google Scholar 

  11. L.O. Chua, P.-M. Lin, Computer-Aided Analysis of Electronic Circuits, Prentice-Hall, 1975.

    MATH  Google Scholar 

  12. Leon O. Chua, Charles A. Desoer, Ernest S. Kuh, Linear and Nonlinear Circuits, Chap. 13, McGraw-Hill; 1987.

    MATH  Google Scholar 

  13. T. Corman, “Using VIEWSIM/AD To Simulate Mixed Analog and Digital Systems,” Proceeding form Elctro/88, Session 43 Record, Boston, MA. May 1988.

    Google Scholar 

  14. G. De Micheli, A.L Sangiovanni-Vincentelli, “Characterization of Integration Algorithms for the Timing Analysis of MOS VLSI Circuits”, Intern. Journal of Circuit Theory and Applications, vol. 10, no. 4, pp. 299–309, October 1982.

    Article  MATH  Google Scholar 

  15. D. Dumlugöl, J. Cockx, H. De Man, P. Odent, “Segmented Waveform Relaxation Algorithms for Large Scale Circuit Simulation”, Proc. 1985 IEEE ISCAS, Kyoto, Japan, pp. 1069–1072, June 1985.

    Google Scholar 

  16. VHDL special issue of IEEE Design & Test magazine, April 1986.

    Google Scholar 

  17. J. J. Ebers and J. L. Moll, “Large-Signal Behavior of Junction Transistors”, Proc. IRE, Vol 42, pp. 1761–1772, December 1954.

    Article  Google Scholar 

  18. I. Getreu, Modeling the Bipolar Transistor, Elsevier Scientific Publishing Company, Amsterdam-Oxford-New York; 1978.

    Google Scholar 

  19. “IEEE subPAR 1076.1 Design Objective Document Version 1.1”, March 1993.

    Google Scholar 

  20. G. Gielen, W. Sansen, Symbolic Analysis for Automated Design of Analog Integrated Circuits, Kluwer Academic Publishers, 1991.

    Book  Google Scholar 

  21. C. W. Gear, “Automatic Multirate Methods for Ordinary Differential Equations,” Information Processing 80, International Federation of Information Processing; 1980.

    Google Scholar 

  22. S. Greenberg, J. Grodstien, K. Sakallah, “Mixed Analog-Digital Simulation”, Proceedings from Elctro/88, Session 43 Record, Boston, MA. May 1988.

    Google Scholar 

  23. H. K. Gummel and H. C. Poon, “An Integral Charge Control Model of Bipolar Transistors”, Bell Systems Technical Journal, Vol. 49, pp. 827–852, May 1970.

    Google Scholar 

  24. G.D. Hachtel, A.L Sangiovanni-Vincentelli, “A Survey of Third-Generation Simulation Techniques”, Proceedings IEEE, vol. 69, no. 10, pp. 1264–1280, October 1981.

    Article  Google Scholar 

  25. R.E. Harr, A.G. Stanculsecu Eds, Application of VHDL to Circuit Design, Kluwer Academic Publishers, 1991.

    Google Scholar 

  26. B. Hennion, P. Senn, “A New Algorithm for Third Generation Circuit Simulators: the One-Step Relaxation Method”, Proc. 1985 IEEE/ACM 22nd Design Automation Conference (DAC), Las Vegas, Nevada, pp. 137–143, June 1985.

    Google Scholar 

  27. G.A. Korn, J.V.Wait, Digital Continuous System Simulation, Prentice Hall; 1978.

    Google Scholar 

  28. J. E. Kleckner, “Advanced Mixed-Mode Simulation Techniques”, Ph.D. dissertation, University of California, Berkeley, May 1984.

    Google Scholar 

  29. S. Krolikoski, J. Mermet, “The Future of the IEEE VHDL Analysis and Standardization Group”, Proc. Euro-VHDL’91,pp. 104–110, Stockholm, September 1991.

    Google Scholar 

  30. K.S Kundert, “Sparse Matrix Techniques”, in [Rueh86], pp. 281–324.

    Google Scholar 

  31. K.S. Kundert, J.K. White, A.L Sangiovanni-Vincentelli, Steady-State Methods for Simulating Analog and Microwave Circuits, Kluwer Academic Press, Norwell, Mass., 1990.

    MATH  Google Scholar 

  32. E. Lelarasmee, A.E. Ruehli, A.L Sangiovanni-Vincentelli, “The Waveform Relaxation Method for Time Domain Analysis of Large Scale Integrated Circuits”, IEEE Trans. on Computer-Aided Design, vol. CAD-1, no. 3, pp. 131–145, July 1982.

    Article  Google Scholar 

  33. Roger Lipsett, Carl Schaefer, Cary Ussery, VHDL: Hardware Description and Design, Kluwer Academic Publishers; 1989.

    Google Scholar 

  34. G. Marong, A.L Sangiovanni-Vincentelli, “Waveform Relaxation and Dynamic Partitioning for the Transient Simulation of Large Scale Bipolar Circuits”, Proc. ICCAD’85, pp. 32–34, Santa Clara, November 1985.

    Google Scholar 

  35. W.J. McCalla, Fundamentals of Computer-Aided Circuit Simulation, Kluwer Academic Publishrs, 1988.

    Google Scholar 

  36. H. A. Mantooth, Martin Vlach, “Beyond SPICE with Saber and MAST,” Proceedings from The IEEE International Symposium on Circuits and Systems; pp. 77–80, May 10–13, 1992, San Diego, CA.

    Google Scholar 

  37. M//DL Language Reference Manual, Intermetrics Inc., IR-VA-025, February 1993.

    Google Scholar 

  38. Mitchel & Gauthier, Assoc., Advanced Continuous Simulation Language (user guide/reference manual).

    Google Scholar 

  39. L. W. Nagel, “SPICE2 -A computer program to simulate semiconductor circuits,” Electronics Research Laboratory Rep. No. ERLM520, University of California, Berkeley, 1975.

    Google Scholar 

  40. A.R. Newton, “Techniques for the Simulation of Large-Scale Integrated Circuits”, IEEE Trans.on Circuits and Systems, vol. CAS-26, no. 9, pp. 741–749, September 1979.

    Article  Google Scholar 

  41. A.R. Newton, A.L Sangiovanni-Vincentelli, “Relaxation-Based Electrical Simulation”, IEEE Trans, on Computer-Aided Design, vol. CAD-3, no. 4, pp. 308–330, October 1984.

    Article  Google Scholar 

  42. J.M. Ortega, W.C. Rheinboldt, Iterative Solution of Nonlinear Equations in Several Variables, Academic Press, New York, 1970.

    MATH  Google Scholar 

  43. D.O. Pederson, “A Historical Review of Circuit Simulation”, IEEE Trans. of Circuits and Systems, CAS-31, No. l,pp. 103–111, January 1984.

    Article  Google Scholar 

  44. T. Quarles, “Adding devices to SPICE3,” Electronics Research Laboratory Rep. No. ERL-M89/ 47, University of California, Berkeley, April 1989.

    Google Scholar 

  45. N. B. Rabbat, A. L. Sangiovanni-Vencentelli, and H. Y. Hsieh, “A Multilevel Newton Algorithm with Macromodeling and Latency for Analysis of Large-scale Nonlinear Networks in the Time Domain,” IEEE Transactions on Circuits and Systems, vol. CAS-26; 1979.

    Google Scholar 

  46. N.B. Rabbat, A.L. Sangiovanni-Vincentelli, H.Y. Hsieh, “A Multilevel Newton Algorithm with Macromodeling and Latency for the Analysis of Large-Scale Nonlinear Circuits in the Time Domain”, IEEE Trans, on Circuits and Systems, vol. CAS-26, no. 9, pp. 733–741, September 1979.

    Article  MathSciNet  Google Scholar 

  47. V.B. Rao, T.N. Trick, “Network Partitioning and Ordering for MOS VLSI Circuits”, IEEE Trans. on CAD, vol. 6, no. 1, pp. 128–144, January 1987.

    Google Scholar 

  48. A.E. Ruehli, Ed., Circuit Analysis, Simulation and Design, Part 1, Elsevier Science Publishers B.V. (North-Holland), 1986.

    MATH  Google Scholar 

  49. A.E. Ruehli, Ed., Circuit Analysis, Simulation and Design, Part 2 Elsevier Science Publishers B.V. (North-Holland), 1987.

    Google Scholar 

  50. K.A. Sakallah, Mixed Simulation of Electronic Integrated Circuits, Ph.D. Thesis, Design Research Center, Carnegie-Mellon University, Pittsburgh, PA, November 1981.

    Google Scholar 

  51. R.A. Saleh, J.E. Kleckner, A.R. Newton, Iterated Timing Analysis and SPLICE1, Proceedings form the International Conference on Computer Aided Design, Santa Clara, CA., 1983.

    Google Scholar 

  52. R.A. Saleh, A.R. Newton, “An Event-Driven, Relaxation-Based Multirate Integration Scheme for Circuit Simulation”, Proc. 1987 IEEE International Symposium on Circuits and Systems (IS-CAS), Philadelphia, Pennsylvania, pp. 600–603, May 1987.

    Google Scholar 

  53. R.A. Saleh, A.R. Newton, “The Exploitation of Latency and Multirate Behavior using Nonlinear Relaxation for Circuit Simulation”, IEEE Trans, of CAD, vol. 8, no 12, pp. 1286–1298, December 1989.

    Google Scholar 

  54. Resve A. Saleh, A. Richard Newton, Mixed-Mode Simulation, Kluwer Academic Publishers;1990.

    Google Scholar 

  55. A.L. Sangiovanni-Vincentelli, “Circuit Simulation”, in Computer Design Aids for VLSI Circuits,P. Antognetti, D.O. Pederson, H. de Man eds, NATO ASI Series, Sijthoff & Noordhoff, pp. 19–112, 1981.

    Google Scholar 

  56. M. Shahdad, “Overview of VHDL 92 Standardization Process”, Proc. Euro-VHDL’91, pp. 86–88, Stockholm, September 1991.

    Google Scholar 

  57. L.M. Silveira, J.K. White, H. Neto, L. Vidigal, “On Exponential Fitting for Circuit Simulation”,IEEE Trans, on CAD, vol. 11, no. 2, pp. 566–574, May 1992.

    Google Scholar 

  58. J.C. Strauss, “The SCi continuous system simulation language”, Simulation, 9, pp. 281–303.

    Google Scholar 

  59. A.W. Swager, “Behavioral Models Expedite Simulation”, EDN, pp. 67–74, November 21, 1991.

    Google Scholar 

  60. H. Tahawy, G. Mazare, B. Hennion, P. Senn, “New Implementation Technique for the Simulation of Mixed (Digital-Analog) VLSI Circuits,” Proceedings from the International Conference on Computer-Aided Design, Santa Clara, CA. Nov. 1987, pp. 396–399.

    Google Scholar 

  61. O. Tejayadi, I.N. Hajj, “Dynamic Partitioning Method for Piecewise-Linear VLSI Circuit Simulation”, Int. Journal of Circ. Theory and Appl., vol. 16, pp. 457–472, 1988.

    Article  Google Scholar 

  62. C. Visweswariah, R.A. Rorher, “Piecewise Approximate Circuit Simulation”, IEEE Trans. on CAD, vil. 10, no. 7, pp. 861–870, July 1991.

    Google Scholar 

  63. Jiri Vlach, K. Singhal, Computer Methods for Circuit Analysis and Design, Van Norstrand Reinhold; 1983.

    Google Scholar 

  64. Martin Vlach, “Modeling and Simulation with Saber,” Proceedings from The Third Annual IEEE ASIC Seminar and Exhibit; pg. T-11.1 , Sept. 1990, Rochester, NY.

    Google Scholar 

  65. A. Vladimirescu, O. Pederson, “A Computer Program for the Simulation of Large-Scale Integrated Circuits”, Proc. 1981 IEEE International Symposium on Circuits and Systems (ISCAS), Chicago, Illinois, pp. 111–113, April 1981.

    Google Scholar 

  66. J. White, A.L. Sangiovanni-Vincentelli, “RELAX2: A Modified Waveform Relaxation Approach to the Simulation of MOS Digital Circuits”, Proc. 1983 IEEEISCAS, Newport Beach, California, pp. 756–759, May 1983.

    Google Scholar 

  67. J.White, A.L. Sangiovanni-Vincentelli, Partitioning Algorithms and Parallel Implementation of Waveform Relaxation Algorithms for Circuit Simulation, Proceedings from International Symposium on Circuits and Systems, Kyoto, Japan, June 1985.

    Google Scholar 

  68. J. White, A.L. Sangiovanni-Vincentelli, Relaxation Techniques for the Simulation of VLSI Circuits, Kluwer Academic Press, Norwell, Mass., 1987.

    Google Scholar 

  69. P. Yang, I.N. Hajj, T.N. Trick, “SLATE: A Circuit Simulation Program with Latency Exploitation and Node Tearing”, Proc. of IEEE Int. Conf. Circ. and Computers, Port Chester, NY, pp. 353–355, October 1980.

    Google Scholar 

  70. D.A. Zein, “Solution of a Set of Nonlinear Algebraic Equations for General Purpose CAD Programs”, in [Rueh86], pp. 207–234.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 1993 Springer Science+Business Media Dordrecht

About this chapter

Cite this chapter

Vachoux, A., Nolan, K. (1993). Analog and Mixed-Level Simulation with Implications to VHDL. In: Mermet, J.P. (eds) Fundamentals and Standards in Hardware Description Languages. NATO ASI Series, vol 249. Springer, Dordrecht. https://doi.org/10.1007/978-94-011-1914-6_9

Download citation

  • DOI: https://doi.org/10.1007/978-94-011-1914-6_9

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-94-010-4846-0

  • Online ISBN: 978-94-011-1914-6

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics