Skip to main content

Abstract

As it was shown throughout this book, in order to use reconfigurable computing across several application domains, one must ensure, at the same time, dynamic configuration (to adapt to different program characteristics), software compatibility (since one cannot discard the issue of software legacy, always a pressing issue), and energy efficiency (due to the limits of integration or to cover mobile markets). In this chapter, a reconfigurable machine that covers all these aspects is discussed.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Beck, A.C.S., Cairo, L.: Low power java processor for embedded applications. In: VLSI-SOC: From Systems to Chips. IFIP International Federation for Information Processing, vol. 200, pp. 213–228. Springer, New York (2006). http://www.springerlink.com/content/14rh612330184tu8/

    Chapter  Google Scholar 

  2. Beck, A.C.S., Carro, L.: A vliw low power java processor for embedded applications. In: SBCCI’04: Proceedings of the 17th Symposium on Integrated Circuits and System Design, pp. 157–162. ACM, New York (2004). doi:10.1145/1016568.1016614

    Chapter  Google Scholar 

  3. Beck, A.C.S., Carro, L.: Application of binary translation to java reconfigurable architectures. In: IPDPS’05: Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS’05)—Workshop 3, p. 156.2. IEEE Computer Society, Los Alamitos (2005). doi:10.1109/IPDPS.2005.111

    Google Scholar 

  4. Beck, A.C.S., Carro, L.: Dynamic reconfiguration with binary translation: breaking the ilp barrier with software compatibility. In: DAC’05: Proceedings of the 42nd Annual Design Automation Conference, pp. 732–737. ACM, New York (2005). doi:10.1145/1065579.1065771

    Chapter  Google Scholar 

  5. Beck, A.C.S., Carro, L.: Transparent acceleration of data dependent instructions for general purpose processors. In: IFIP VLSI-SoC 2007, IFIP WG 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Atlanta, GA, USA, 15–17 October 2007, pp. 66–71. IEEE Press, New York (2007)

    Chapter  Google Scholar 

  6. Beck, A.C.S., Carro, L.: Reconfigurable acceleration with binary compatibility for general purpose processors. In: VLSI-SoC: Advanced Topics on Systems on a Chip. IFIP International Federation for Information Processing, vol. 291, pp. 1–16. Springer, New York (2009). http://www.springerlink.com/content/p17618617681uvx3/

    Chapter  Google Scholar 

  7. Beck, A.C.S., Gomes, V.F., Carro, L.: Exploiting java through binary translation for low power embedded reconfigurable systems. In: SBCCI’05: Proceedings of the 18th Annual Symposium on Integrated Circuits and System Design, pp. 92–97. ACM, New York (2005). doi:10.1145/1081081.1081109

    Google Scholar 

  8. Beck, A.C.S., Gomes, V.F., Carro, L.: Automatic dataflow execution with reconfiguration and dynamic instruction merging. In: IFIP VLSI-SoC 2006, IFIP WG 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Nice, France, 16–18 October 2006, pp. 30–35. IEEE Press, New York (2006)

    Chapter  Google Scholar 

  9. Beck, A.C.S., Gomes, V.F., Carro, L.: Dynamic instruction merging and a reconfigurable array: Dataflow execution with software compatibility. In: Reconfigurable Computing: Architectures and Applications. Lecture Notes in Computer Science, vol. 3985, pp. 449–454. Springer, Berlin/Heidelberg (2006). http://www.springerlink.com/content/86458544617q0366/

    Chapter  Google Scholar 

  10. Beck, A.C.S., Rutzig, M.B., Gaydadjiev, G., Carro, L.: Transparent reconfigurable acceleration for heterogeneous embedded applications. In: DATE’08: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 1208–1213. ACM, New York (2008). doi:10.1145/1403375.1403669

    Chapter  Google Scholar 

  11. Beck Fl., A.C.S., Mattos, J.C.B., Wagner, F.R., Carro, L.: Caco-ps: A general purpose cycle-accurate configurable power simulator. In: SBCCI’03: Proceedings of the 16th Symposium on Integrated Circuits and Systems Design, p. 349. IEEE Computer Society, Los Alamitos (2003)

    Chapter  Google Scholar 

  12. Bem, E.Z., Petelczyc, L.: Minimips: a simulation project for the computer architecture laboratory. In: SIGCSE’03: Proceedings of the 34th SIGCSE Technical Symposium on Computer Science Education, pp. 64–68. ACM, New York (2003). doi:10.1145/611892.611934

    Chapter  Google Scholar 

  13. Burger, D., Austin, T.M.: The simplescalar tool set, version 2.0. SIGARCH. Comput. Archit. News 25(3), 13–25 (1997). doi:10.1145/268806.268810

    Article  Google Scholar 

  14. Burns, J., Gaudiot, J.L.: Smt layout overhead and scalability. IEEE Trans. Parallel Distrib. Syst. 13(2), 142–155 (2002). doi:10.1109/71.983942

    Article  Google Scholar 

  15. Gomes, V.F., Beck, A.C.S., Carro, L.: Trading time and space on low power embedded architectures with dynamic instruction merging. J. Low Power Electron. 1(3), 249–258 (2005)

    Article  Google Scholar 

  16. Gonzalez, A., Tubella, J., Molina, C.: Trace-level reuse. In: ICPP’99: Proceedings of the 1999 International Conference on Parallel Processing, p. 30. IEEE Computer Society, Los Alamitos (1999)

    Chapter  Google Scholar 

  17. Guthaus, M.R., Ringenberg, J.S., Ernst, D., Austin, T.M., Mudge, T., Brown, R.B.: Mibench: A free, commercially representative embedded benchmark suite. In: Workload Characterization, 2001. WWC-4. 2001 IEEE International Workshop on, pp. 3–14 (2001)

    Google Scholar 

  18. Hennessy, J.L., Patterson, D.A.: Computer Architecture, 4th edn. A Quantitative Approach. Morgan Kaufmann, San Mateo (2006)

    MATH  Google Scholar 

  19. de Mattos, J.C.B., Beck, A.C.S., Carro, L.: Object-oriented reconfiguration. In: 18th IEEE International Workshop on Rapid System Prototyping (RSP 2007), 28–30 May 2007, Porto Alegre, RS, Brazil, pp. 69–74. IEEE Computer Society, Los Alamitos (2007)

    Chapter  Google Scholar 

  20. McLellan, E.J., Webb, D.A.: The alpha 21264 microprocessor architecture. In: ICCD’98: Proceedings of the International Conference on Computer Design, p. 90. IEEE Computer Society, Los Alamitos (1998)

    Google Scholar 

  21. Puttaswamy, K., Choi, K.W., Park, J.C., Mooney III, V.J., Chatterjee, A., Ellervee, P.: System level power-performance trade-offs in embedded systems using voltage and frequency scaling of off-chip buses and memory. In: ISSS’02: Proceedings of the 15th International Symposium on System Synthesis, pp. 225–230. ACM, New York (2002). doi:10.1145/581199.581249

    Chapter  Google Scholar 

  22. Rutzig, M.B., Beck, A.C., Carro, L.: Dynamically adapted low power asips. In: ARC’09: Proceedings of the 5th International Workshop on Reconfigurable Computing: Architectures, Tools and Applications, pp. 110–122. Springer, Berlin/Heidelberg (2009)

    Chapter  Google Scholar 

  23. Rutzig, M.B., Beck, A.C.S., Carro, L.: Transparent dataflow execution for embedded applications. In: ISVLSI’07: Proceedings of the IEEE Computer Society Annual Symposium on VLSI, pp. 47–54. IEEE Computer Society, Los Alamitos (2007). doi:10.1109/ISVLSI.2007.98

    Chapter  Google Scholar 

  24. Rutzig, M.B., Beck, A.C.S., Carro, L.: Balancing reconfigurable data path resources according to application requirements. In: 22nd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2008, Miami, Florida, USA, April 14–18, 2008, pp. 1–8. IEEE Press, New York (2008)

    Chapter  Google Scholar 

  25. Shi, K., Howard, D.: Challenges in sleep transistor design and implementation in low-power designs. In: DAC’06: Proceedings of the 43rd Annual Design Automation Conference, pp. 113–116. ACM, New York (2006). doi:10.1145/1146909.1146943

    Chapter  Google Scholar 

  26. Smith, J.E.: A study of branch prediction strategies. In: ISCA’98: 25 Years of the International Symposia on Computer Architecture (Selected Papers), pp. 202–215. ACM, New York (1998). doi:10.1145/285930.285980

    Chapter  Google Scholar 

  27. Tiwari, V., Malik, S., Wolfe, A.: Power analysis of embedded software: a first step towards software power minimization. Readings in hardware/software co-design, pp. 222–230 (2002)

    Google Scholar 

  28. Yeager, K.C.: The mips r10000 superscalar microprocessor. IEEE Micro 16(2), 28–40 (1996). doi:10.1109/40.491460

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Antonio Carlos Schneider Beck Fl. .

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media B.V.

About this chapter

Cite this chapter

Schneider Beck Fl., A.C., Carro, L. (2010). The DIM Reconfigurable System. In: Dynamic Reconfigurable Architectures and Transparent Optimization Techniques. Springer, Dordrecht. https://doi.org/10.1007/978-90-481-3913-2_6

Download citation

  • DOI: https://doi.org/10.1007/978-90-481-3913-2_6

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-90-481-3912-5

  • Online ISBN: 978-90-481-3913-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics