Skip to main content

Photochemistry in Electronics

  • Chapter
  • First Online:
Applied Photochemistry

Abstract

Photochemistry plays a critical role in modern semiconductor electronics, primarily through the use of photoactive polymers or photoresists in the lithographic processes used to fabricate semiconductor devices. Photoactive polymers have been extensively researched in order to develop resists that are chemically robust and that are able to produce sharp, well defined, high resolution features through photolithography. This chapter introduces photolithography and photoresists, and presents review of the photochemistry of some of the more important commercial photoresists. Miniaturisation of semiconductor devices for consumer electronics and sensors now places increasing demands on lithography processes. This has lead to the development of sub-micrometer and now nanometer scale devices. A review of electron beam lithography and other high-resolution lithography techniques concludes this chapter.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Moore GE (1995) Lithography and the future of Moore’s law. Adv Resist Technol Process 2438:2

    Article  Google Scholar 

  2. Burns K, Adams KB, Longwell J (1950) Interference measurements in the spectra of neon and natural mercury. JOSA 40:339–344

    Article  CAS  Google Scholar 

  3. Miura K, Tomita Y (1978) Photo-sensitive bis-azide containing composition. US Patent 4,099,973

    Google Scholar 

  4. Haddleton DM, Creed D et al (1989) Photochemical crosslinking of main-chain liquid-crystalline polymers containing cinnamoyl groups. Makromol Chemie Rapid Commun 10:391–396

    Article  CAS  Google Scholar 

  5. van Delft FC, Weterings JP, van Langen-Suurling AK et al (2000) Hydrogen silsesquioxane/novolak bilayer resist for high aspect ratio nanoscale electron-beam lithography. J Vac Sci Technol B Microelectron Nanometer Struct 18:3419

    Article  Google Scholar 

  6. Franssila S (2004) Introduction to microfabrication. Wiley, New York

    Google Scholar 

  7. Lorenz H, Despont M, Fahrni N et al (1998) High-aspect-ratio, ultrathick, negative-tone near-UV photoresist and its applications for MEMS. Sens Actuators A 64:33–39

    Article  CAS  Google Scholar 

  8. Voskerician G, Shive MS, Shawgo et al (2003) Biocompatibility and biofouling of MEMS drug delivery devices. Biomaterials 24:1959–1967

    Article  CAS  Google Scholar 

  9. Eng P, Nithiarasu P, Guy O (2010) An experimental study on an electro-osmotic flow-based silicon heat spreader. Microfluid Nanofluid 9:787–795

    Article  CAS  Google Scholar 

  10. Alfaro JF (2007) A multi axial bioimplantable MEMS array bone stress sensor. PhD thesis Carnegie Mellon University. http://www.ri.cmu.edu/publication_view.html?pub_id=5975

  11. Alfaro JF, Weiss L, Campbell P et al (2009) Design of a multi-axis implantable MEMS sensor for intraosseous bone stress monitoring. J Micromech Micromeng 19:085016. http://iopscience.iop.org/0960-1317/19/8/085016

  12. Teo SHG, Liu A, Singh J et al (2004) High resolution and aspect ratio two-dimensional photonic band-gap crystal. J Vac Sci Technol B Microelectron Nanometer Struct 22:2640

    Article  CAS  Google Scholar 

  13. O’Brien J, Hughes P, Brunet M et al (2001) Advanced photoresist technologies for microsystems. J Micromech Micromeng 11:353

    Article  Google Scholar 

  14. Dammel R (1993) Diazonaphthoquinone-based resists. SPIE, Washington

    Google Scholar 

  15. Ito H (1997) Chemical amplification resists: history and development within IBM. IBM J Res Dev 41:119–130

    Article  Google Scholar 

  16. Postnikov SV, Stewart MD, Tran HV et al (1999) Study of resolution limits due to intrinsic bias in chemically amplified photoresists. J Vac Sci Technol B Microelectron Nanometer Struct 17:3335

    Google Scholar 

  17. Crivello JV, Shim SY (1994) Photoresist composition comprising a copolymer having a di-t-butyl fumarate. US Patent 5,346,803

    Google Scholar 

  18. Owa S, Nagasaka H (2003) Immersion lithography; its potential performance and issues. In: Yen A (ed) Optical microlithography XVI. Proceedings SPIE 5040, pp 724–733

    Google Scholar 

  19. Bratton D, Yang D, Dai J, Ober CK (2006) Recent progress in high resolution lithography. Polym Adv Technol 17:94–103

    Article  CAS  Google Scholar 

  20. Hinsberg WD, Hoffnagle J, Wallraff G et al (2005) Evaluation of functional properties of imaging materials for water immersion lithography. SPIE 5753:508

    Google Scholar 

  21. Allen RD, Brock PJ, Sundberg L et al (2005) Design of protective topcoats for immersion lithography. J Photopolym Sci Technol 18:615–619

    Article  CAS  Google Scholar 

  22. Wu B, Kumar A (2007) Extreme ultraviolet lithography: a review. J Vac Sci Technol B Microelectron Nanometer Struct 25:1743

    Article  CAS  Google Scholar 

  23. Vladimirov N, Fréchet JMJ, Yamada S et al (1999) Photoresists with reduced environmental impact: water-soluble resists based on photo-cross-linking of a sugar-containing polymethacrylate. Macromolecules 32:86–94

    Article  Google Scholar 

  24. Wagner C, Harned N (2010) EUV lithography: lithography gets extreme. Nat Photonics 4:24–26

    Article  CAS  Google Scholar 

  25. Jin F, Richardson M (1995) New laser plasma source for extreme-ultraviolet lithography. Appl Opt 34:5750–5760

    Article  CAS  Google Scholar 

  26. Mirkarimi PB, Bajt S, Wall MA (2000) Mo/Si and Mo/Be multilayer thin films on Zerodur substrates for extreme-ultraviolet lithography. Appl Opt 39:1617–1625

    Article  CAS  Google Scholar 

  27. Mertens B, Weiss M, Meiling H et al (2004) Progress in EUV optics lifetime expectations. Micromech Micromeng 73:16–22

    Google Scholar 

  28. Naulleau PP, Gallatin GM (2003) Line-edge roughness transfer function and its application to determining mask effects in EUV resist characterization. Appl Opt 42:3390–3397

    Article  Google Scholar 

  29. Kobayashi S, Santillan JJ, Oizumi H et al (2009) EUV resist outgassing release characterization and analysis. Micromech Micromeng 86:479–482

    CAS  Google Scholar 

  30. Gonsalves K, Thiyagarajan M, Choi JH et al (2005) High performance resist for EUV lithography. Micromech Micromeng 77:27–35

    CAS  Google Scholar 

  31. Goethals A, Gronheld R, Leumissen L et al (2005) EUV resist screening: current performance and issues. J Photopolym Sci Technol 18:647–654

    Article  CAS  Google Scholar 

  32. Lee KW, Yoon SM, Lee SC et al (2009) Secondary electron generation in electron-beam irradiated solids: resolution limits to nanolithography. J Korean Phys Soc 55:1720–1723

    Article  CAS  Google Scholar 

  33. Wu B (2011) Next-generation lithography for 22 and 16 nm technology nodes and beyond. Sci China Inf Sci 54:959–979

    Article  Google Scholar 

  34. De Broglie L (1924) Recherches sur la théorie des quanta. Thèse de doctorat, Faculté des Sciences de Paris, Paris

    Google Scholar 

  35. Fische P, Chou S (1993) 10 nm electron beam lithography and sub-50 nm overlay using a modified scanning electron microscope. Appl Phys Lett 62:2989–2991

    Article  Google Scholar 

  36. Vieu C, Carcenac F, Pepin A et al (2000) Electron beam lithography: resolution limits and applications. Appl Surf Sci 164:111–117

    Article  CAS  Google Scholar 

  37. Chang T (1975) Proximity effect in electron-beam lithography. J Vac Sci Technol 12:1271–1275

    Article  Google Scholar 

  38. Parikh M (1979) Corrections to proximity effects in electron beam lithography. I Theory J Appl Phys 50:4371–4377

    Article  Google Scholar 

  39. Peuker M, Lim M, Smith HI et al (2002) Hydrogen silsesquioxane, a high-resolution negtive tone e-beam resist, investigated for its applicability in photon-based lithographies. Microelectron Eng 61:803–809

    Article  Google Scholar 

  40. Mohammad M, Dew S, Evoy S et al (2011) Fabrication of sub-10 nm silicon carbon nitride resonators using a hydrogen silsesquioxane mask patterned by electron beam lithography. Microelectron Eng 88:2338–2341

    Article  CAS  Google Scholar 

  41. Grigorescu A, van der Krogt M, Hagen CW et al (2007) 10 nm lines and spaces written in HSQ, using electron beam lithography. Microelectron Eng 84:822–824

    Article  CAS  Google Scholar 

  42. Grigorescu A, Hagen C (2009) Resists for sub-20-nm electron beam lithography with a focus on HSQ: state of the art. Nanotechnol 20:292001

    Article  CAS  Google Scholar 

  43. Lister K, Casey B, Dobson P et al (2004) Pattern transfer of a 23 nm-period grating and sub-15 nm dots into CVD diamond. Microelectron Eng 73:319–322

    Article  Google Scholar 

  44. Henschel W, Georgiev Y, Kurz H (2003) Study of a high contrast process for hydrogen silsesquioxane as a negative tone electron beam resist. J Vac Sci Technol, B Micro-electron Nanometer Struct 21:2018

    Google Scholar 

  45. Yang H, Jin A, Luo Q et al (2008) Electron beam lithography of HSQ/PMMA bilayer resists for negative tone lift-off process. Microelectron Eng 85:814–817

    Article  CAS  Google Scholar 

  46. Hasselbach F (1997) Selected topics in charge particle interferometry. Scanning Microsc 11:345–366

    Google Scholar 

  47. Ogai K, Kimura Y, Shimizu R et al (1995) Nanofabrication of grating and dot patterns by electron holographic lithography. Appl Phys Lett 66:1560–1562

    Article  CAS  Google Scholar 

  48. Fujita S, Maruno S, Watanabe et al (1995) Periodical nanostructure fabrication using electron interference fringes produced by scanning interference electron microscope. Appl Phys Lett 66:2754

    Article  CAS  Google Scholar 

  49. Palmieri F, Adams J, Long B et al (2007) Design of reversible cross-linkers for step and flash imprint lithography imprint resists. ACS Nano 1:307–312

    Article  CAS  Google Scholar 

  50. Jaszewski R, Schift H, Gobrecht J et al (1998) Hot embossing in polymers as a direct way to pattern resist. Microelectron Eng 41:575–578

    Article  Google Scholar 

  51. Johnson SC, Bailey TC, Dickey MD et al (2003) Advances in step and flash imprint lithography. Proc SPIE Int Soc Opt Eng 5037:197–202

    Article  CAS  Google Scholar 

  52. Le NV, Dauksher WJ, Gehoski KA et al (2005) Selective dry etch process for step and flash imprint lithography. Microelectron Eng 78:464–473

    Article  Google Scholar 

  53. Mancini DP, Le N, Gehoski KA et al (2004) Sub-80-nm contact hole patterning using step and flash imprint lithography. Proc SPIE-Int Soc Opt Eng 5374:371–382

    Google Scholar 

  54. Kim E, Stacey N, Smith B et al (2004) Vinyl ethers in ultraviolet curable formulations for step and flash imprint lithography. J Vac Sci Technol B Microelectron Nanometer Struct 22:131

    Google Scholar 

  55. Ogino K, Chen J, Ober C (1998) Synthesis and characterization of thermally degradable polymer networks. Chem Mater 10:3833–3838

    Article  CAS  Google Scholar 

  56. Chen X, Wudl F, Mal A et al (2003) New thermally remendable highly cross-linked polymeric materials. Macromolecules 36:1802–1807

    Article  CAS  Google Scholar 

  57. Ji R, Hornung M, Verschuuren MA et al (2010) UV enhanced substrate conformal imprint lithography (UV-SCIL) technique for photonic crystals patterning in LED manufacturing. Microelectron Eng 87:963–967

    Article  CAS  Google Scholar 

  58. Verschuuren MA (2010) Substrate conformal imprint lithography for nanophotonics. PhD thesis, Utrecht University

    Google Scholar 

  59. Ji R, Krueger A, Hornung M et al (2009) Full field nanoimprint on mask aligners using substrate conformal imprint lithography technique. Acta Phys Pol 116:S187–S189

    CAS  Google Scholar 

  60. Stuart C, Chen Y (2009) Roll in and roll out: a path to high-throughput nanoimprint lithography. ACS Nano 3:2062–2064

    Article  CAS  Google Scholar 

  61. Ahn SH, Guo LJ (2009) Large-area roll-to-roll and roll-to-plate nanoimprint lithography: a step toward high-throughput application of continuous nanoimprinting. ACS Nano 3:2304–2310

    Article  CAS  Google Scholar 

  62. Cheng X, Guo L, Fu P (2005) Room-temperature, low-pressure nanoimprinting based on cationic photopolymerization of novel epoxysilicone monomers. Adv Mat 17:1419

    Article  CAS  Google Scholar 

  63. Hecht B, Sick B, Wild U et al (2000) Scanning near-field optical microscopy with aperture probes: fundamentals and applications. J Chem Phys 112:7761–7774

    Article  CAS  Google Scholar 

  64. Betzig E, Trautman J, Wolfe R et al (1992) Near-field magneto-optics and high density data storage. Appl Phys Lett 61:142–144

    Article  CAS  Google Scholar 

  65. Bozhevolnyi S, Vohnsen B (1997) Near-field optics with uncoated fiber tips: confinement and spatial resolution. J Opt Soc Am B 14:1656–1663

    Article  CAS  Google Scholar 

  66. Sun S, Chong K, Leggett G (2002) Nanoscale molecular patterns fabricated by using scanning near-field optical lithography. J Am Chem Soc 124:2414–2415

    Article  CAS  Google Scholar 

  67. Montague M, Ducker RE, Chong KSL et al (2007) Fabrication of biomolecular nanos-tructures by scanning near-field photolithography of oligo(ethylene glycol)-terminated self-assembled monolayers. Langmuir 23:7328–7337

    Article  CAS  Google Scholar 

  68. ul Haq E, Liu Z, Zhang Y et al (2010) Parallel scanning near-field photolithography: the snomipede. Nano Lett 10:4375–4380

    Article  CAS  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Owen J. Guy .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media Dordrecht

About this chapter

Cite this chapter

Guy, O.J., Burwell, G., Castaing, A., Walker, KA.D. (2013). Photochemistry in Electronics. In: Evans, R., Douglas, P., Burrow, H. (eds) Applied Photochemistry. Springer, Dordrecht. https://doi.org/10.1007/978-90-481-3830-2_13

Download citation

Publish with us

Policies and ethics