Skip to main content

NoC Router Power Macro-modeling at High Level

  • Conference paper
Advances in Computer Science and Engineering

Part of the book series: Advances in Intelligent and Soft Computing ((AINSC,volume 141))

  • 1504 Accesses

Abstract

This paper proposes a methodology for power extraction of the Network-on-Chip router. The router power model is devoted to overcoming the shortcoming of existing architecture-level power simulators with higher accuracy and providing a fast and precise power profile to enable power optimization such as power-aware compiler, core mapping, and scheduling techniques. Each component of the router is modeled by different methods according to different characteristics. Multiple linear regression is used to model the relationship between events occurring in the NoC and energy consumption. Using the EDA platform of Synopsys and SMIC 180nm standard cell library, we compare our power model to the gate-level power analysis by PrimeTime PX. Experimental results show that the average estimation error of the proposed power model is 5.0% against the gate-level simulation with 600 times speed up.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 259.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 329.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Dally, W.J., Towles, B.: Router packets, not wires: on-chip interconnection networks. In: Proceedings of Design Automation Conference, Las Vegas, Nevada, pp. 684–689 (2001)

    Google Scholar 

  2. Kumar, S., Janstech, A., Soininen, J.-P., Forsell, M., Millberg, M., Oberg, J., et al.: A network on chip architecture and design methodology. In: Proceedings of the IEEE Computer Society Annual Symposium on VLSI, Pittsburgh, pp. 105–112 (2002)

    Google Scholar 

  3. Lahiri, K., Raghunathan, A.: Power analysis of system-level on-chip communication architectures. In: CODES+ISSS (2004)

    Google Scholar 

  4. Wang, H., Zhu, X., Peh, L.-S., Malik, S.: Orion: a power-performance simulator for interconnection networks. MICRO, 294–305 (2002)

    Google Scholar 

  5. Kahng, A.B., Li, B., Peh, L.-S., Samadi, K.: ORION2:A Fast and Accurate NoC Power and Area Model for Early-Stage Design Space Exploration. In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2009, pp. 423–428 (2009)

    Google Scholar 

  6. Ye, T.T., Benini, L., Micheli, G.D.: Analysis of power consumption on switch fabrics in network routers. In: DAC, pp. 524–529 (2002)

    Google Scholar 

  7. Bona, A., Zaccaria, V., Zafalon, R.: System level power modeling and simulation of high-end industrial network-on-chip. In: DATE 2004: Proceedings of the Conference on Design Automation and Test in Europe, pp. 303–318. IEEE Computer Society, Washington, DC, USA (2004)

    Google Scholar 

  8. Bona, A., Zaccaria, V., Zafalon, R.: Low Effort, High Accuracy Network-on-Chip Power Macro Modeling. In: Macii, E., Paliouras, V., Koufopavlou, O. (eds.) PATMOS 2004. LNCS, vol. 3254, pp. 541–552. Springer, Heidelberg (2004)

    Google Scholar 

  9. Wolkottex, P., Smit, G., Kavaldjiev, N., Becker, J.: Energy model of networks-on-chip and a bus, system-on-chip. In: Proceedings of the 2005 International Symposium, November 17, pp. 82–85 (2005)

    Google Scholar 

  10. Penolazzi, S., Jantsch, A.: A high level power model for the nostrum noc. In: DSD 2006: Proceedings of the 9th EUROMICRO Conference on Digital System Design, pp. 673–676 (2006)

    Google Scholar 

  11. Meloni, P., Loi, I., Angiolini, F., Carta, S., Barbaro, M., Raffo, L., et al.: Area and power modeling for networks-on-chip with layout awareness. In: VLSI Design (2007)

    Google Scholar 

  12. Bertozzi, D., Benini, L.: Xpipes: a network-on-chip architecture for gigascale systems-on-chip. IEEE Circuits and Systems Magazine 4(2), 18–31 (2004)

    Article  Google Scholar 

  13. Chan, J., Parameswaran, S.: Nocee: energy macro-model extraction methodology for network on chip routers. In: IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2005, November 6-10, pp. 254–259 (2005)

    Google Scholar 

  14. Hsieh, W.T., Shiue, C.C., Liu, C.N.: An Efficient Power Modeling Approach of Sequential Circuits Using Recurrent Neural Networks. IEE Proceedings-Computers and Digital Techniques 153(2), 78–86 (2006)

    Article  Google Scholar 

  15. Qiang, W., Cao, Y., Yan, Y., Gao, X.: Power Macromodel of CMOS Circuits by BP Neural Network. Journal of Wuhan University (Natural Science Edition) 52(3), 353–356 (2006)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Fang Zhou .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer-Verlag GmbH Berlin Heidelberg

About this paper

Cite this paper

Zhou, F., Wu, N., Zhang, Y., Ge, X. (2012). NoC Router Power Macro-modeling at High Level. In: Zeng, D. (eds) Advances in Computer Science and Engineering. Advances in Intelligent and Soft Computing, vol 141. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-27948-5_28

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-27948-5_28

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-27947-8

  • Online ISBN: 978-3-642-27948-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics