Skip to main content

Impact of Process Variations on Pulsed Flip-Flops: Yield Improving Circuit-Level Techniques and Comparative Analysis

  • Conference paper
Book cover Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation (PATMOS 2010)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 6448))

Abstract

Process variations cause unpredictability in speed and power characteristics of nanometer CMOS circuits impacting the timing and energy yields. In this paper, transistor reordering and dual-Vth techniques are evaluated regarding their efficiency in mitigating the impact of process variations on a set of pulsed flip-flops. It is shown that the conjunct use of the above mentioned techniques can improve delay, energy and EDP yields more than 1.98X, 1.62X and 1.99X times, respectively. The yield optimized flip-flop circuits are also comparatively analyzed to identify the best topologies.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Wong, B.P., et al.: Nano-CMOS Design For Manufacturability. John Wiley & Sons, Chichester (2009)

    Google Scholar 

  2. Borkar, S., Karnik, T., Narendra, S., Tschanz, J., Keshavarzi, A., De, V.: Parameter variations and impact on circuits and microarchitecture. In: Proc. of the 40th Conference on Design automation, Anaheim, CA, USA, June 2-6 (2003)

    Google Scholar 

  3. Sylvester, D., Agarwal, K., Shah, S.: Variability in nanometer CMOS: Impact, analysis, and minimization, Integration. The VLSI Journal 41(3), 319–339 (2008)

    Article  Google Scholar 

  4. Stojanovic, V., Oklobdzija, V.: Comparative Analysis of Master-Slave Latches and Flip-Flops for High-Performance and Low-Power Systems. IEEE J. Solid-State Circuits 34(4), 536–548 (1999)

    Article  Google Scholar 

  5. Rebaud, B., Belleville, M., Bernard, C., Robert, M., Maurine, P., Azemard, N.: A comparative study of variability impact on static flip-flop timing characteristics. In: Proc. IEEE International Conference on Integrated Circuit Design and Technology ( ICICDT), Austin, TX, June 2-4, pp. 167–170 (2008)

    Google Scholar 

  6. Hansson, M., Alvandpour, A.: Comparative Analysis of Process Variation Impact on Flip-Flop Power-Performance. In: Proceedings of the 2007 IEEE Symposiums on Circuits and Systems (ISCAS 2007), pp. 3744–3747 (2007)

    Google Scholar 

  7. Mostafa, H., Anis, M., Elmasry, M.: Comparative Analysis of Timing Yield Improvement under Process Variations of Flip-Flops Circuits. In: 2009 IEEE Computer Society Annual Symposium on VLSI (2009)

    Google Scholar 

  8. da Silva, D.N., et al.: CMOS Logic Gate Performance Variability Related to Transistor Network Arrangements. Microelectronics Reliability 49, 977–981 (2009)

    Article  Google Scholar 

  9. Ashouei, M., Chatterjec, A., Singh, A.D., De, V.: A dual-Vt layout approach for statistical leakage variability minimization in nanometer CMOS. In: Proceedings of 2005 IEEE International Conference on Computer Design (ICCD), pp. 567–573 (October 2005)

    Google Scholar 

  10. Nedovic, N., Oklobdzija, V.G.: Hybrid Latch Flip-Flop with Improved Power Efficiency. In: Proceedings of the 13th Symposium on Integrated Circuits and Systems Design, pp. 211–215 (2000)

    Google Scholar 

  11. Giacomotto, C., Nedovic, N., Oklobdzija, V.G.: The Effect of the System Specification on the Optimal Selection of Clocked Storage Elements. IEEE J. Solid-State Circuits 42(6), 1392–1403 (2007)

    Article  Google Scholar 

  12. Alioto, M., Consoli, E., Palumbo, G.: General Strategies to Design Nanometer Flip-Flops in the Energy-Delay Space. IEEE Transaction on Circuits and Systems (2009)

    Google Scholar 

  13. Alioto, M., Consoli, E., Palumbo, G.: Flip-Flop Energy/Performance Versus Clock Slope and Impact on the Clock Network Design. IEEE Transaction on Circuits and Systems (2009)

    Google Scholar 

  14. Markovic, D., Nikolic, B., Brodersen, R.: Analysis and Design of Low-Energy Flip-Flops. In: Proc. of the 2001 International Symposium on Low Power Electronics and Design, Huntington Beach, California, United States, pp. 52–55 (2001)

    Google Scholar 

  15. Hossain, R., et al.: Reducing Power Dissipation in CMOS Circuits by Signal Probability Based Transistor Reordering. IEEE Trans. Computer Aided Design Integrated Circuits Systems 15(3), 361–368 (1996)

    Article  Google Scholar 

  16. Li, B., Peh, L., Patra, P.: Impact of Process and Temperature Variations on Network-on-Chip Design Exploration. In: Proc. of the Second ACM/IEEE International Symposium on Networks-on-Chip, NOCS, pp. 117–126 (2008)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Lanuzza, M., De Rose, R., Frustaci, F., Perri, S., Corsonello, P. (2011). Impact of Process Variations on Pulsed Flip-Flops: Yield Improving Circuit-Level Techniques and Comparative Analysis. In: van Leuken, R., Sicard, G. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation. PATMOS 2010. Lecture Notes in Computer Science, vol 6448. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-17752-1_18

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-17752-1_18

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-17751-4

  • Online ISBN: 978-3-642-17752-1

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics