Skip to main content

Silicon-based Devices and Materials for Nanoscale FETs

  • Chapter
  • First Online:
Semiconductor-On-Insulator Materials for Nanoelectronics Applications

Part of the book series: Engineering Materials ((ENG.MAT.))

  • 2322 Accesses

Abstract

Silicon on insulator (SOI)-based devices seem to be the best candidates for the ultimate integration of integrated circuits on silicon down to nm structures. An overview of the performance of nanoscale FETs, based on innovative concepts, technologies and device architectures, is addressed. The impact of alternative channel materials, source-drain contacts and multi-gates/channels on the performance and physical mechanisms in ultimate MOSFETs is highlighted. The interest of multi gate emerging and beyond-CMOS nanodevices for long term applications, based on nanowires or small slope switch structures for ultra low power applications is also presented. Finally, the flexibility of multi-gate and nanowire SOI structures for boosting the scalability and performance of DRAM, SRAM and flash memories is outlined.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. ITRS Roadmap. http://www.itrs.net/

  2. ENIAC Strategic Research Agenda. http://www.eniac.eu/web/SRA/local_index.php

  3. Balestra, F.: SOI Devices. Wiley Encyclopedia of Electrical and Electronics Engineering. Wiley, New York (1999)

    Google Scholar 

  4. Cristoloveanu, S., Balestra, F.: Silicon on insulator: technology and devices. In: Morkoc, H. (ed.) Advanced Semiconductor and Organic Nano-techniques. Academic Press, New York (2003)

    Google Scholar 

  5. Driussi, F., Esseni, D., Selmi, L., Schmidt, M., Lemme, M.C., Kurz, H., Buca, D., Mantl, S., Luysberg, M., Loo, R., Nguyen, D., Reiche, M.: Fabrication, characterization and modeling of strained SOI MOSFETs with very large effective mobility. In: Proceeding of the European Solid State Device Research Conference (ESSDERC), Munich, p. 315 (2007)

    Google Scholar 

  6. Feste, S.F., Knoch, J., Habicht, S., Buca, D., Zhao, Q.T., Mantl, S.: Performance enhancement of uniaxially-tensile strained Si NW-nFETs fabricated by lateral strain relaxation of SOI. In: Proc. ULIS, Juliech, p. 109 (2009)

    Google Scholar 

  7. Uchida, K., Zednik, R., Lu, C.H.: Experimental study of uniaxial and biaxial strain effects on carrier mobility in bulk and ultra-thin-body SOI MOSFETs. In: Proc. IEDM, San Francisco, p. 229 (2004)

    Google Scholar 

  8. Barral, V., Poiroux, T., Andrieu, F., Buj-Dufournet, C., Faynot, O., Ernst, T., Brevard, L., Fenouillet-Beranger, C., Lafond, D., Hartmann, J.M., Vidal, V., Allain, F., Daval, N., Cayrefourcq, I., Tosti, L., Munteanu, D., Autran, J.L., Deleonibus, S.: Strained FDSOI CMOS technology scalability down to 2.5 nm film thickness and 18 nm gate length with a TiN/HfO2 gate stack. In: Proc. IEDM, Washington, p. 61 (2007)

    Google Scholar 

  9. Larrieu, G., Dubois, E., Valentin, R., Breil, N., Danneville, F., Dambrine, G., Raskin, J.P., Pesant, J.C.: Low temperature implementation of dopant-segregated band-edge metallic S/D junctions in thin-body SOI p-MOSFETs. In: Proc. IEDM, Washington, p. 147 (2007)

    Google Scholar 

  10. Zhang, Z., Qiu, A., Liu, R., Ostling, M., Zhang, S.L.: Schottky-barrier height tuning by means of ion implantation into preformed silicide films followed by drive-in anneal. Electron Device Lett. 28, 565 (2007)

    Article  Google Scholar 

  11. Larrieu, G., Yarekha, D.A., Dubois, E., Breil, N., Faynot, O.: Arsenic-segregated rare-earth silicide junctions: reduction of Schottky barrier and integration in metallic n-MOSFETs on SOI. IEEE Electron Device Lett. 30, 1266–1268 (2009)

    Article  Google Scholar 

  12. Balestra, F., Cristoloveanu, S., Benachir, M., Brini, J.: Double-gate silicon-on-insulator transistor with volume inversion: a new device with greatly enhanced performance. IEEE Electron Device Lett. 8, 410 (1987)

    Article  Google Scholar 

  13. Skotnicki, T.: Silicon-on-nothing devices. International Summer School MIGAS’2008, Grenoble (2008)

    Google Scholar 

  14. Eminente, S., Esseni, D., Palestri, P., Fiegna, C., Selmi, L., Sangiorgi, E.: Enhanced ballisticity in nano-MOSFETs along the ITRS roadmap: a Monte Carlo study. In: Proc. IEDM, San Francisco, p. 609 (2004)

    Google Scholar 

  15. Bescond, M., Néhari, K., Autran, J.L., Cavassilas, N., Munteanu, D., Lannoo, M.: 3D quantum modeling and simulation of multi-gate nanowire MOSFETs. In: Proc. IEDM, San Francisco, p. 617 (2004)

    Google Scholar 

  16. Saint Martin, J., Bournel, A., Dollfus, P.: Comparison of multiple-gate MOSFET architectures using Monte-Carlo simulation. In: Proc. ULIS, Bologna, p. 61 (2005)

    Google Scholar 

  17. Bansal, A., Paul, B.C., Roy, K.: Impact of gate underlap on gate capacitance and gate tunneling current in 16 nm DGMOS devices. In: Proceedings of the IEEE International SOI Conference, Charleston, p. 94 (2004)

    Google Scholar 

  18. Raphay, Q., Clerc, R., Ghibaudo, G., Pananakakis, G.: Impact of source-to-drain tunnelling on the scalability of arbitrarily oriented alternative channel material nMOSFETs. Solid-State Electron. 52, 1474–1481 (2008)

    Article  Google Scholar 

  19. Bernard, E., Ernst, T., Guillaumot, B., Vulliet, N., Barral, V., Maffini-Alvaro, V., Andrieu, F., Vizioz, C., Campidelli, Y., Gautier, P., Hartmann, J., Kies, R., Delaye, V., Aussenac, F., Poiroux, T., Coronel, P., Souifi, A., Skotnicki, T., Deleonibus, S.: Novel integration process and performances analysis of low standby power (LSTP) 3D multi-channel CMOSFET (MCFET) on SOI with metal/high-K gate stack. In: Proceedings of Symposium on VLSI Technology, Honolulu, p. 16 (2008)

    Google Scholar 

  20. Weber, O., Faynot, O., Andrieu, F., Buj-Dufournet, C., Allain, F., Scheiblin, P., Foucher, J., Daval, N., Lafond, D., Tosti, L., Brevard, L., Rozeau, O., Fenouillet-Beranger, C., Marin, M., Boeuf, F., Delprat, D., Bourdelle, K., Nguyen, B.-Y., Deleonibus, S.: High immunity to threshold voltage variability in undoped ultra-thin FDSOI MOSFETs and its physical understanding. In: Proc. IEDM, San Francisco, p. 245 (2008)

    Google Scholar 

  21. Cheng, B., Roy, S., Brown, A.R., Millar, C., Asenov, A.: Evaluation of intrinsic parameter fluctuations on 45, 32 and 22 nm technology node LP N-MOSFETs. In: Proc. ESSDERC, Edinburgh, p. 47 (2008)

    Google Scholar 

  22. Balestra, F., Jomaah, J.: Performance and new effects in advanced SOI devices and materials. Microelectron. Eng. 80, 230–240 (2005)

    Article  Google Scholar 

  23. Moselund, K.E., Dobrosz, P., Olsen, S., Pott, V., De Michielis, L., Tsamados, D., Bouvet, D., O’Neill, A., Ionescu, A.M.: Bended Gate-All-Around Nanowire MOSFET: a device with enhanced carrier mobility due to oxidation-induced tensile stress. In: Proc. IEDM, Washington, p. 191 (2007)

    Google Scholar 

  24. Dupre, C., Hubert, A., Becu, S., Jublot, M., Maffini-Alvaro, V., Vizioz, C., Aussenac, F., Arvet, C., Barnola, S., Hartmann, J.-M., Garnier, G., Allain, F., Colonna, J.-P., Rivoire, M., Baud, L., Pauliac, S., Loup, V., Chevolleau, T., Rivallin, P., Guillaumot, B., Ghibaudo, G., Faynot, O., Ernst, T., Deleonibus, S.: 15 nm-diameter 3D stacked nanowires with independent gates operation: FET. In: Proc. IEDM, San Francisco, p. 549 (2008)

    Google Scholar 

  25. Ernst, T., Duraffourg, L., Dupre, C., Bernard, E., Andreucci, P., Becu, S., Ollier, E., Hubert, A., Halte, C., Buckley, J., Thomas, O., Delapierre, G., Deleonibus, S., de Salvo, B., Robert, P., Faynot, O.: Novel Si-based nanowire devices: will they serve ultimate MOSFETs scaling or ultimate hybrid integration. In: Proc. IEDM, San Francisco, p. 745 (2008)

    Google Scholar 

  26. Baumgärtner, H.: Performance enhancement of vertical tunnel FET with SiGe in the p+ layer. Jpn. J. Appl. Phys. 43, 4073–4078 (2004)

    Article  Google Scholar 

  27. Wang, P.-F., Hilsenbeck, K., Nirschl, T., Oswald, M., Stepper, C., Weis, M.: Complementary tunneling transistor for low power application. Solid-State Electron. 48, 2281–2286 (2004)

    Article  Google Scholar 

  28. Boucart, K., Ionescu, A.M.: Double-gate tunnel FET with high-k gate dielectric. IEEE Trans. Electron. Devices (2007). doi:10.1109/ESSDER.2006.307718

  29. Boucart, K., Riess, W., Ionescu, A.M.: Asymmetrically strained all-silicon tunnel Fets featuring 1 V operation. In: Proc. ESSDERC’2009, Athens (2009)

    Google Scholar 

  30. Luisier, M., Klimeck, G.: Atomistic full-band design study of InAs band-to-band tunneling field-effect transitor. IEEE Electron Device Lett. 30, 602–604 (2009)

    Article  Google Scholar 

  31. Tanaka, T.: Scalability study on a capacitorless 1T-DRAM: from single-gate PD-SOI to double-gate FinDRAM. In: Proc. IEDM, San Francisco (2004). doi:10.1109/IEDM.2004.1419332

  32. Song, K.-W., Jeong, H., Lee, J.-W.: 55 nm capacitor-less 1T DRAM cell transistor with non-overlap structure. In: Proc. IEDM, San Francisco (2008). doi:10.1109/IEDM.2008.4796818

  33. Nowak, E., Boquet, M., Perniola, L., Ghibaudo, G., Molas, G., Jahan, C., Kies, R., Reimbold, G., De Salvo, B., Boulanger, F.: New physical model for ultra-scaled 3D nitride-trapping non volatile memories. In: Proc. IEDM, San Francisco (2008). doi:10.1109/IEDM.2008.4796750

  34. Endo, K., O’uchi, S.-I., Ishikawa, Y.: Enhancing SRAM cell performance by using independent double-gate FinFET. In: Proc. IEDM, San Francisco (2008). doi:10.1109/IEDM.2008.4796833

  35. Ryu, S.-W., Han, J.-W., Moon, D.-I., Choi, Y.-K.: One-transistor nonvolatile SRAM (ONSRAM) on silicon nanowire SONOS. In: Proc. IEDM, Washington, p. 27.5.1–27.5.4 (2009)

    Google Scholar 

  36. Hubert, A., Nowak, E., Tachi, K., Maffini-Alvaro, V., Vizioz, C., Arvet, C., Colonna, J.-P., Hartmann, J.-M., Loup, V., Baud, L., Pauliac, S., Delaye, V., Carabasse, C., Molas, G., Ghibaudo, G., De Salvo, B., Faynot, O., Ernst, T.: A stacked SONOS technology, up to 4 levels and 6 nm crystalline nanowires, with gate-all-around or independent gates (Φ-Flash), suitable for full 3D integration. In: Proc. IEDM, Washington, p. 637 (2009)

    Google Scholar 

Download references

Acknowledgments

This work was partially supported by the European Network of Excellence NANOSIL (FP7) devoted to Silicon-based Nanodevices.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Francis Balestra .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Balestra, F. (2011). Silicon-based Devices and Materials for Nanoscale FETs. In: Nazarov, A., Colinge, JP., Balestra, F., Raskin, JP., Gamiz, F., Lysenko, V. (eds) Semiconductor-On-Insulator Materials for Nanoelectronics Applications. Engineering Materials. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-15868-1_6

Download citation

Publish with us

Policies and ethics