Skip to main content

A Energy Efficient Scheduling Base on Dynamic Voltage and Frequency Scaling for Multi-core Embedded Real-Time System

  • Conference paper
Book cover Algorithms and Architectures for Parallel Processing (ICA3PP 2009)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 5574))

Abstract

Multi-core processors, are being implemented in embedded real-time systems because of the increasing computational requirements. And as the demand for concurrent processing and increased energy efficiency grows, power management is now of primary importance. This paper tackles the problem of reducing power consumption for multi-core embedded real-time system. the processor of the system is assumed to have the limitation that all cores must run at the same performance level and implemented Dynamic voltage and frequency scaling (DVS). We proposed a novel scheduling algorithm use Earliest Deadline First (EDF) [1] to guarantee meeting the deadlines of all real time task sets for each core and to make DVS more efficiency. Meanwhile, we considered about leakage power as well. The DVS-EDF algorithm we proposed can save energy more than Simple Power-Aware Scheduling [2] algorithm ranging from 3% to 12%.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Liu, C.L., Layland, J.W.: Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment. J. ACM. 20, 46–61 (1973)

    Article  MathSciNet  MATH  Google Scholar 

  2. Bautista, D., Sahuquillo, J., Hassan, H., Petit, S., Duato, J.: A simple power-aware scheduling for multicore systems when running real-time applications. In: Proc. Parallel and Distributed, pp. 1–7 (2008)

    Google Scholar 

  3. Multi-Core Processors—The Next Evolution in Computing, white paper, Advanced Micro Devices, Inc. (2005)

    Google Scholar 

  4. Hung, C.M., Chen, J.J., Kuo, T.W.: Energy-efficient real-time task scheduling for a dvs system with a non-dvs processing element. In: Proc. 27th IEEE International Real-Time Systems Symposium, pp. 303–312 (2006)

    Google Scholar 

  5. Leung, J., Whitehead, J.: On the Complexity of Fixed-Priority Scheduling of Periodic, Real-Time Tasks. Performance Evaluation 2(4), 237–250 (1982)

    Article  MathSciNet  MATH  Google Scholar 

  6. Lehoczky, J., Sha, L., Ding, Y.: The Rate Monotonic Scheduling Algorithm: Exact Characterization and Average Case Behavior. In: Proc. Real Time Systems Symp (RTSS 1989), pp. 166–171 (1989)

    Google Scholar 

  7. Jerjurikar, R., Pereira, C., Gupta, R.: Leakage Aware Dynamic Voltage Scaling for Real-Time Embedded Systems. In: Proc. 41st Ann. Technical Conf. Design Automation (DAC 2004), pp. 275–280 (2004)

    Google Scholar 

  8. Pillai, P., Shin, K.G.: Real-Time Dynamic Voltage Scaling for Low-Power Embedded Operating Systems. In: Proc. 18th ACM Symp. Operating Systems (SOSP 2001), pp. 89–102 (2001)

    Google Scholar 

  9. Aydin, H., Yang, Q.: Energy-aware partitioning for multiprocessor real-time systems. In: Proc. 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), Workshop on Parallel and Distributed Real-Time Systems, p. 9 (2003)

    Google Scholar 

  10. AlEnawy, T., Aydin, H.: Energy-aware task allocation for rate monotonic scheduling. In: Proc. 11th IEEE Real Time on Embedded Technology and Applications Symposium, pp. 213–223 (2005)

    Google Scholar 

  11. Anderson, J., Baruah, S.: Energy-efficient synthesis of periodic task systems upon identical multiprocessor platforms. In: Proc. Distributed Computing Systems, 24th International Conference, pp. 428–435 (2004)

    Google Scholar 

  12. Yang, C., Chen, J., Luo, T.: An Approximation Algorithm for Energy-Efficient Scheduling on a Chip Multiprocessor. In: Proc. Design, Automation and Test in Europe Conf. and Exhibition (DATE 2005), pp. 468–473 (2005)

    Google Scholar 

  13. Martin, S.M., Flautner, K., Mudge, T., Blaauw, D.: Combined Dynamic Voltage Scaling and Adaptive Body Biasing for Lower Power Microprocessors under Dynamic Workloads. In: Proc. IEEE/ACM Int’l Conf. Computer Aided Design (ICCAD 2002), pp. 721–725 (2002)

    Google Scholar 

  14. Jejurikar, R., Gupta, R.: Dynamic Slack Reclamation with Procrastination Scheduling in Real-Time Embedded Systems. In: Proc. 42nd Ann. Conf. Design Automation (DAC 2005), pp. 111–116 (2005)

    Google Scholar 

  15. Advanced Configuration and Power Interface, ACPI Specification Rev. 3.0b, http://www.acpi.info/spec.htm

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Huang, X., Li, K., Li, R. (2009). A Energy Efficient Scheduling Base on Dynamic Voltage and Frequency Scaling for Multi-core Embedded Real-Time System. In: Hua, A., Chang, SL. (eds) Algorithms and Architectures for Parallel Processing. ICA3PP 2009. Lecture Notes in Computer Science, vol 5574. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-03095-6_14

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-03095-6_14

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-03094-9

  • Online ISBN: 978-3-642-03095-6

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics