Skip to main content

Data Cache Techniques to Save Power and Deliver High Performance in Embedded Systems

  • Chapter
Transactions on High-Performance Embedded Architectures and Compilers II

Part of the book series: Lecture Notes in Computer Science ((THIPEAC,volume 5470))

  • 458 Accesses

Abstract

Minimizing power consumption continues to grow as a critical design issue for many platforms, from embedded systems to CMPs to ultrascale parallel systems. As growing cache sizes consume larger portions of the die, reducing their power consumption becomes increasingly important. Voltage scaling reduces leakage power for cache lines unlikely to be referenced soon. Partitioning reduces dynamic power via smaller, specialized structures. We introduce a reuse distance (RD) drowsy caching mechanism that exploits temporal locality, delivers equivalent or better energy savings than the best policies from the literature, suffers little performance overhead, is simple to implement, and scales with cache size and hierarchy depth.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 16.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Agarwal, A., Pudar, S.: Column-associative caches: A technique for reducing the miss rate of direct-mapped caches. In: Proc. 20th IEEE/ACM International Symposium on Computer Architecture, pp. 169–178 (May 1993)

    Google Scholar 

  2. Albonesi, D.: Selective cache ways: On-demand cache resource allocation. In: Proc. IEEE/ACM 32nd International Symposium on Microarchitecture, pp. 248–259 (November 1999)

    Google Scholar 

  3. Brooks, D., Tiwari, V., Martonosi, M.: Wattch: A framework for architectural-level power analysis and optimizations. In: Proc. 27th IEEE/ACM International Symposium on Computer Architecture, pp. 83–94 (2000)

    Google Scholar 

  4. Calder, B., Grunwald, D., Emer, J.: Predictive sequential associative cache. In: Proc. 2nd IEEE Symposium on High Performance Computer Architecture, pp. 244–253 (February 1996)

    Google Scholar 

  5. Flautner, K., Kim, N., Martin, S., Blaauw, D., Mudge, T.: Drowsy caches: Simple techniques for reducing leakage power. In: Proc. 29th IEEE/ACM International Symposium on Computer Architecture, pp. 147–157 ( May 2002)

    Google Scholar 

  6. Geiger, M., McKee, S., Tyson, G.: Beyond basic region caching: Specializing cache structures for high performance and energy conservation. In: Conte, T., Navarro, N., Hwu, W.-m.W., Valero, M., Ungerer, T. (eds.) HiPEAC 2005. LNCS, vol. 3793, pp. 102–115. Springer, Heidelberg (2005)

    Chapter  Google Scholar 

  7. Geiger, M., McKee, S., Tyson, G.: Drowsy region-based caches: Minimizing both dynamic and static power dissipation. In: Proc. ACM Computing Frontiers Conference, pp. 378–384 (May 2005)

    Google Scholar 

  8. Ghose, K., Kamble, M.: Reducing power in superscalar processor caches using subbanking, multiple line buffers and bit-line segmentation. In: Proc. IEEE/ACM International Symposium on Low Power Electronics and Design, pp. 70–75 (August 1999)

    Google Scholar 

  9. Guthaus, M., Ringenberg, J., Ernst, D., Austin, T., Mudge, T., Brown, R.: MiBench: A free, commercially representative embedded benchmark suite. In: Proc. IEEE 4th Workshop on Workload Characterization, pp. 3–14 (December 2001)

    Google Scholar 

  10. Inoue, K., Ishihara, T., Murakami, K.: Way-predicting set-associative cache for high performance and low energy consumption. In: Proc. IEEE/ACM International Symposium on Low Power Electronics and Design, pp. 273–275 (August 1999)

    Google Scholar 

  11. Inoue, K., Moshnyaga, V., Murakami, K.: Trends in high-performance, low-power cache memory architectures. IEICE Transactions on Electronics E85-C(2), 303–314 (2002)

    Google Scholar 

  12. Kamble, M., Ghose, K.: Analytical energy dissipation models for low power caches. In: Proc. IEEE/ACM International Symposium on Low Power Electronics and Design, pp. 143–148 (August 1997)

    Google Scholar 

  13. Kaxiras, S., Hu, Z., Martonosi, M.: Cache decay: Exploiting generational behavior to reduce cache leakage power. In: Proc. 28th IEEE/ACM International Symposium on Computer Architecture, pp. 240–251 (June 2001)

    Google Scholar 

  14. Kim, N., Flautner, K., Blaauw, D., Mudge, T.: Circuit and microarchitectural techniques for reducing cache leakage power. IEEE Transactions on VLSI 12(2), 167–184 (2004)

    Article  Google Scholar 

  15. Kin, J., Gupta, M., Mangione-Smith, W.: Filtering memory references to increase energy efficiency. IEEE Transactions on Computers 49(1), 1–15 (2000)

    Article  Google Scholar 

  16. Lee, H.: Improving Energy and Performance of Data Cache Architectures by Exploiting Memory Reference Characteristics. PhD thesis, University of Michigan (2001)

    Google Scholar 

  17. Lee, H., Smelyanski, M., Newburn, C., Tyson, G.: Stack value file: Custom microarchitecture for the stack. In: Proc. 7th IEEE Symposium on High Performance Computer Architecture, pp. 5–14 (January 2001)

    Google Scholar 

  18. Lee, H., Tyson, G.: Region-based caching: An energy-delay efficient memory architecture for embedded processors. In: Proc. 4th ACM International Conference on Compilers, Architectures and Synthesis for Embedded Systems, pp. 120–127 (November 2000)

    Google Scholar 

  19. Petit, S., Sahuquillo, J., Such, J., Kaeli, D.: Exploiting temporal locality in drowsy cache policies. In: Proc. ACM Computing Frontiers Conference, pp. 371–377 (May 2005)

    Google Scholar 

  20. Powell, M., Yang, S.-H., Falsafi, B., Roy, K., Vijaykumar, T.: Gated-Vdd: A circuit technique to reduce leakage in deep-submicron cache memories. In: Proc. IEEE/ACM International Symposium on Low Power Electronics and Design, pp. 90–95 (July 2000)

    Google Scholar 

  21. Seznec, A.: A case for two-way skewed-associative cache. In: Proc. 20th IEEE/ACM International Symposium on Computer Architecture (May 1993)

    Google Scholar 

  22. Sherwood, T., Perelman, E., Hamerly, G., Calder, B.: Automatically characterizing large scale program behavior. In: Proc. 10th ACM Symposium on Architectural Support for Programming Languages and Operating Systems, pp. 45–57 (October 2002)

    Google Scholar 

  23. Shivakumar, P., Jouppi, N.: CACTI 3.0: An integrated cache timing, power, and area model. Technical Report WRL-2001-2, Compaq Western Research Lab (August 2001)

    Google Scholar 

  24. Zhang, Y., Parikh, D., Sankaranarayanan, K., Skadron, K., Stan, M.: Hotleakage: A temperature-aware model of subthreshold and gate leakage for architects. Technical Report CS-2003-05, University of Virginia Department of Computer Science (March 2003)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Bhadauria, M., McKee, S.A., Singh, K., Tyson, G.S. (2009). Data Cache Techniques to Save Power and Deliver High Performance in Embedded Systems. In: Stenström, P. (eds) Transactions on High-Performance Embedded Architectures and Compilers II. Lecture Notes in Computer Science, vol 5470. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-00904-4_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-00904-4_5

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-00903-7

  • Online ISBN: 978-3-642-00904-4

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics