Skip to main content

Autonomous DVFS on Supply Islands for Energy-Constrained NoC Communication

  • Conference paper

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 5455))

Abstract

An autonomous-DVFS-enabled supply island architecture on network-on-chip platforms is proposed. This architecture exploits the temporal and spatial network traffic variations in minimizing the communication energy while constraining the latency and supply management overhead. Each island is equipped with autonomous DVFS mechanism, which traces the local and nearby network conditions. In quantitative simulations with various types of representative traffic patterns, this approach achieves greater energy efficiency than two other low-energy architectures (typically 10% - 27% lower energy). With autonomous supply management on a proper granularity as demonstrated in this study, the communication energy can be minimized in a scalable manner for many-core NoCs.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Asanovic, K., Bodik, R., Catanzaro, B.C., Gebis, J.J., Husbands, P., Keutzer, K., Patterson, D.A., Plishker, W.L., Shalf, J., Williams, S.W., Yelick, K.A.: The landscape of parallel computing research: A view from berkeley. Technical Report UCB/EECS-2006-183, EECS, Uni. of California, Berkeley (December 2006)

    Google Scholar 

  2. Rabaey, J.M.: Scaling the power wall: Revisiting the low-power design rules. In: Keynote speech at SoC 2007 Symposium, Tampere (November 2007)

    Google Scholar 

  3. Dally, W.: Computer architecture is all about interconnect. In: HPCA ( International Symposium on High Performance Computer Architecture) Panel (February 2002)

    Google Scholar 

  4. Shang, L., Peh, L.S., Jha, N.: Dynamic voltage scaling with links for power optimization of interconnection networks. In: Proc. Ninth International Symposium on High-Performance Computer Architecture HPCA-9 2003, pp. 91–102 (2003)

    Google Scholar 

  5. Yuan Tian, E., Ekici, F.O.: Energy-constrained task mapping and scheduling in wireless sensor networks. In: IEEE International Conference on Mobile Adhoc and Sensor Systems Conference, p. 218 (2005)

    Google Scholar 

  6. Liang, G., Jantsch, A.: Adaptive power management for the on-chip communication network. In: Proc. 9th EUROMICRO Conference on Digital System Design: Architectures, Methods and Tools DSD 2006, pp. 649–656 (2006)

    Google Scholar 

  7. Luo, J., Jha, N.K., Peh, L.S.: Simultaneous dynamic voltage scaling of processors and communication links in real-time distributed embedded systems. IEEE transactions on VLSI systems 15(4), 427–437 (2007)

    Article  Google Scholar 

  8. Hazucha, P., Schrom, G., Hahn, J., Bloechel, B., Hack, P., Dermer, G., Narendra, S., Gardner, D., Karnik, T., De, V., Borkar, S.: A 233-mhz 80%-87% efficient four-phase dc-dc converter utilizing air-core inductors on package. IEEE Journal of Solid-State Circuits 40(4), 838–845 (2005)

    Article  Google Scholar 

  9. Kim, W., Gupta, M.S., Wei, G.Y., Brooks, D.: System level analysis of fast, per-core dvfs using on-chip switching regulators. In: International symposium on high-performance computer architecture (February 2008)

    Google Scholar 

  10. Leung, L.F., Tsui, C.Y.: Energy-aware synthesis of networks-on-chip implemented with voltage islands. In: Proc. 44th ACM/IEEE Design Automation Conference DAC 2007, pp. 128–131 (2007)

    Google Scholar 

  11. Hu, J., Shin, Y., Dhanwada, N., Marculescu, R.: Architecting voltage islands in core-based system-on-a-chip designs. In: ISLPED 2004: Proceedings of the 2004 international symposium on Low power electronics and design, pp. 180–185. ACM, New York (2004)

    Google Scholar 

  12. Wu, H., Liu, I.M., Wong, M., Wang, Y.: Post-placement voltage island generation under performance requirement. In: Proc. ICCAD 2005, IEEE/ACM International Conference on Computer-Aided Design, pp. 309–316 (2005)

    Google Scholar 

  13. Ogras, U., Marculescu, R., Choudhary, P., Marculescu, D.: Voltage-frequency island partitioning for gals-based networks-on-chip. In: Proc. 44th ACM/IEEE Design Automation Conference DAC 2007, pp. 110–115 (2007)

    Google Scholar 

  14. Lackey, D., Zuchowski, P., Bednar, T., Stout, D., Gould, S., Cohn, J.: Managing power and performance for system-on-chip designs using voltage islands. In: Proc. IEEE/ACM International Conference on Computer Aided Design ICCAD 2002, pp. 195–202 (2002)

    Google Scholar 

  15. Wang, H.S., Zhu, X., Peh, L.S., Malik, S.: Orion: a power-performance simulator for interconnection networks. In: Proc. 35th Annual IEEE/ACM International Symposium on (MICRO-35) Microarchitecture, pp. 294–305 (2002)

    Google Scholar 

  16. Hu, J., Marculescu, R.: Energy and performance-aware mapping for regular noc architectures. IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems 24(4), 551–562 (2005)

    Article  Google Scholar 

  17. Wibben, J., Harjani, R.: A high efficiency dc-dc converter using 2nh on-chip inductors. In: Proc. IEEE Symposium on VLSI Circuits, pp. 22–23 (2007)

    Google Scholar 

  18. Ogras, U.Y., Marculescu, R., Choudhary, P., Marculescu, D.: Voltage-frequency island partitioning for gals-based networks-on-chip. In: DAC 2007: Proceedings of the 44th annual conference on Design automation, pp. 110–115. ACM, New York (2007)

    Chapter  Google Scholar 

  19. Sengupta, D., Saleh, R.: Application-driven floorplan-aware voltage island design. In: Proc. 45th ACM/IEEE Design Automation Conference DAC 2008, pp. 155–160 (2008)

    Google Scholar 

  20. Jesshope, C., Miller, P., Yantchev, J.: High performance communications in processor networks. In: Proc. 16th Annual International Symposium on Computer Architecture, 28 May – 1 June, 1989, pp. 150–157 (1989)

    Google Scholar 

  21. Wang, H.: A detailed architectural-level power model for router buffers, crossbars and arbiters. Technical report, Department of Electrical Engineering, Princeton University (2004)

    Google Scholar 

  22. Sakurai, T., Newton, A.: Alpha-power law mosfet model and its applications to cmos inverter delay and other formulas. IEEE Journal of solid-state circuits 25(2), 584–594 (1990)

    Article  Google Scholar 

  23. Stratakos, A.J.: High-efficiency low-voltage DC-DC conversion for portable applications. PhD thesis, University of California, Berkeley (1998)

    Google Scholar 

  24. Wang, M., Madhyastha, T., Chan, N.H., Papadimitriou, S., Faloutsos, C.: Data mining meets performance evaluation: fast algorithms for modeling bursty traffic. In: Proc. 18th International Conference on Data Engineering, pp. 507–516 (2002)

    Google Scholar 

  25. Lu, Z., Jantsch, A., Salminen, E., Grecu, C.: Network-on-chip benchmarking specification part 2: Microbenchmark specification version 1.0. Technical report, OCP International Partnership Association, Inc. (May 2008)

    Google Scholar 

  26. Tierno, J., Rylyakov, A., Friedman, D.: A wide power supply range, wide tuning range, all static cmos all digital pll in 65 nm soi. IEEE Journal of Solid-State Circuits 43(1), 42–51 (2008)

    Article  Google Scholar 

  27. Vangal, S., Howard, J., Ruhl, G., Dighe, S., Wilson, H., Tschanz, J., Finan, D., Singh, A., Jacob, T., Jain, S., Erraguntla, V., Roberts, C., Hoskote, Y., Borkar, N., Borkar, S.: An 80-tile sub-100-w teraflops processor in 65-nm cmos. IEEE Journal of Solid-State Circuits 43(1), 29–41 (2008)

    Article  Google Scholar 

  28. Wang, A., Chandrakasan, A.: A 180mv fft processor using subthreshold circuit techniques. In: Proc. Digest of Technical Papers Solid-State Circuits Conference ISSCC, IEEE International, vol. 1, pp. 292–529 (2004)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Guang, L., Nigussie, E., Koskinen, L., Tenhunen, H. (2009). Autonomous DVFS on Supply Islands for Energy-Constrained NoC Communication. In: Berekovic, M., Müller-Schloer, C., Hochberger, C., Wong, S. (eds) Architecture of Computing Systems – ARCS 2009. ARCS 2009. Lecture Notes in Computer Science, vol 5455. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-00454-4_19

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-00454-4_19

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-00453-7

  • Online ISBN: 978-3-642-00454-4

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics