Skip to main content

Architecture Performance Prediction Using Evolutionary Artificial Neural Networks

  • Conference paper
Applications of Evolutionary Computing (EvoWorkshops 2008)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 4974))

Included in the following conference series:

Abstract

The design of computer architectures requires the setting of multiple parameters on which the final performance depends. The number of possible combinations make an extremely huge search space. A way of setting such parameters is simulating all the architecture configurations using benchmarks. However, simulation is a slow solution since evaluating a single point of the search space can take hours. In this work we propose using artificial neural networks to predict the configurations performance instead of simulating all them. A prior model proposed by Ypek et al. [1] uses multilayer perceptron (MLP) and statistical analysis of the search space to minimize the number of training samples needed. In this paper we use evolutionary MLP and a random sampling of the space, which reduces the need to compute the performance of parameter settings in advance. Results show a high accuracy of the estimations and a simplification in the method to select the configurations we have to simulate to optimize the MLP.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Ipek, E., McKee, S.A., de Supinski, B.R., Schulz, M., Caruana, R.: Efficiently Exploring Architectural Design Spaces via Predictive Modeling. In: ASPLOS 2006, pp. 195–206 (2006)

    Google Scholar 

  2. Martonosi, M., Skadron, K.: NSF computer performance evaluation workshop (2001), http://www.princeton.edu/mrm/nsf_sim_final.pdf

  3. Jacob, B.: A case for studying DRAM issues at the system level. IEEE Micro 23(4), 44–56 (2003)

    Article  MathSciNet  Google Scholar 

  4. Davis, J., Laudon, J., Olukotun, K.: Maximizing CMP throughput with mediocre cores. In: Proc. IEEE/ACM International Conference on Parallel Architectures and Compilation Techniques, pp. 51–62 (2005)

    Google Scholar 

  5. SaarTsechansky, M., Provost, F.: Active learning for class probability estimation and ranking. In: Proc. 17th International Joint Conference on Artificial Intelligence, pp. 911–920 (2001)

    Google Scholar 

  6. Castillo, P.A., Carpio, J., Merelo, J.J., Rivas, V., Romero, G., Prieto, A.: Evolving Multilayer Perceptrons. Neural Processing Letters 12(2), 115–127 (2000)

    Article  MATH  Google Scholar 

  7. Castillo, P.A., Merelo, J.J., Rivas, V., Romero, G., Prieto, A.: G-Prop: Global Optimization of Multilayer Perceptrons using GAs. Neurocomputing 35(1-4), 149–163 (2000)

    Article  MATH  Google Scholar 

  8. Castillo, P., Arenas, M., Merelo, J.J., Rivas, V., Romero, G.: Optimisation of Multilayer Perceptrons Using a Distributed Evolutionary Algorithm with SOAP. In: Guervós, J.J.M., Adamidis, P.A., Beyer, H.-G., Fernández-Villacañas, J.-L., Schwefel, H.-P. (eds.) PPSN 2002. LNCS, vol. 2439, pp. 676–685. Springer, Heidelberg (2002)

    Google Scholar 

  9. Castillo, P., Merelo, J., Romero, G., Prieto, A., Rojas, I.: Statistical Analysis of the Parameters of a Neuro-Genetic Algorithm. IEEE Transactions on Neural Networks 13(6), 1374–1394 (2002)

    Article  Google Scholar 

  10. Karkhanis, T., Smith, J.: A 1st-order superscalar processor model. In: Proc. 31st IEEE/ACM International Symposium on Computer Architecture, pp. 338–349 (2004)

    Google Scholar 

  11. Yi, J., Lilja, D., Hawkins, D.: A statistically-rigorous approach for improving simulation methodology. In: Proc. 9th IEEE Symposium on High Performance Computer Architecture, pp. 281–291 (2003)

    Google Scholar 

  12. Chow, K., Ding, J.: Multivariate analysis of Pentium Pro processor. In: Proceedings of Intel Software Developers Conference Track 1, Portland, Oregon, USA, October 27-29, 1997, pp. 84–104 (1997)

    Google Scholar 

  13. Cai, G., Chow, K., Nakanishi, T., Hall, J., Barany, M.: Multivariate prower/performance analysis for high performance mobile microprocessor design. In: Power Driven Microarchitecture Workshop (ISCA 1998), Barcelona (1998)

    Google Scholar 

  14. Eeckhout, L., Bell Jr, R., Stougie, B., De1Bosschere, K., John, L.: Control flow modeling in statistical simulation for accurate and efficient processor design studies. In: Proc. 31st IEEE/ACM International Symposium on Computer Architecture, pp. 350–336 (2004)

    Google Scholar 

  15. Phansalkar, A., Josi, A., Eeckhout, L., John, L.: Measuring program similarity: Experiments with SPEC CPU benchmark suites. In: Proc. IEEE International Symposium on Performance Analysis of Systems and Software, pp. 10–20 (2005)

    Google Scholar 

  16. Muttreja, A., Raghunathan, A., Ravi, S., Jha, N.: Automated energy/performance macromodeling of embedded software. In: Proc. 41st ACM/IEEE Design Automation Conference, pp. 99–102 (2004)

    Google Scholar 

  17. Lee, B., Brooks, D.: Accurate and efficient regression modeling for microarchitectural performance and power prediction. In: Proc. 12th ACM Symposium on Architectural Support for Programmming Languages and Operating Systems (ASPLOS-XII), San Jose, California, USA, pp. 185–194. ACM Press, New York (2006)

    Chapter  Google Scholar 

  18. Oskin, M., Chong, F., Farrens, M.: HLS: Combining statistical and symbolic simulation to guide microprocessor design. In: Computer Architecture, 2000. Proc. 27th IEEE/ACM International Symposium on Computer Architecture (SIGARCH Comput. Archit. News), pp. 71–82. ACM Press, New York (2000)

    Google Scholar 

  19. Rapaka, V., Marculescu, D.: Pre-characterization free, efficient power/performance analysis of embedded and general purpose software applications. In: Proc. ACM/IEEE Design, Automation and Test in Europe Conference and Exposition, pp. 10504–10509 (2003)

    Google Scholar 

  20. Wunderlich, R., Wenish, T., Falsafi, B., Hoe, J.: SMARTS: Accelerating microarchitecture simulation via rigorous statistical sampling. In: Proc. 30th IEEE/ACM International Symposium on Computer Architecture (ISCA), San Diego, California, USA, June 9-11, 2003, vol. 8, pp. 84–95. IEEE Computer Society Press, Los Alamitos (2003)

    Google Scholar 

  21. Haskins, J., Skadron, K.: Minimal subset evaluation: Rapid warm-up for simulated hardware state. In: Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, September 23-26, 2001, p. 32. IEEE Computer Society Press, Washington (2001)

    Google Scholar 

  22. Renau, J.: SESC (2007), http://sesc.sourceforge.net/index.html

  23. SPEC: Standard Performance Evaluation Corporation. SPEC CPU benchmark suite (2000), http://specbench.org/osg/cpu2000

  24. Goldberg, D.: Zen and the art of genetic algorithms. In: Procs. of the 6th International Conference on Genetic Algorithms, ICGA 1995, pp. 80–85 (1995)

    Google Scholar 

  25. Michalewicz, Z.: Genetic Algorithms + Data Structures = Evolution Programs, 3rd Extended edn., Springer, Heidelberg (1996)

    MATH  Google Scholar 

  26. Fahlman, S.: Faster-Learning Variations on Back-Propagation: An Empirical Study. In: Proceedings of the 1988 Connectionist Models Summer School, Morgan Kaufmann, San Francisco (1988)

    Google Scholar 

  27. Whitley, D.: The GENITOR Algorithm and Selection Presure: Why rank-based allocation of reproductive trials is best. In: Schaffer, J.D. (ed.) Procc of The 3th Int. Conf. on Genetic Algorithms, pp. 116–121. Morgan Kaufmann, San Francisco (1989)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Mario Giacobini Anthony Brabazon Stefano Cagnoni Gianni A. Di Caro Rolf Drechsler Anikó Ekárt Anna Isabel Esparcia-Alcázar Muddassar Farooq Andreas Fink Jon McCormack Michael O’Neill Juan Romero Franz Rothlauf Giovanni Squillero A. Şima Uyar Shengxiang Yang

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Castillo, P.A. et al. (2008). Architecture Performance Prediction Using Evolutionary Artificial Neural Networks. In: Giacobini, M., et al. Applications of Evolutionary Computing. EvoWorkshops 2008. Lecture Notes in Computer Science, vol 4974. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-78761-7_18

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-78761-7_18

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-78760-0

  • Online ISBN: 978-3-540-78761-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics