Skip to main content

Reliability and Energy-Aware Platform-Based Multiprocessor Design

  • Chapter
  • First Online:
Reliable and Energy Efficient Streaming Multiprocessor Systems

Part of the book series: Embedded Systems ((EMSY))

  • 594 Accesses

Abstract

As discussed in Chapter 3, a significant research is conducted recently to investigate platform-based design approaches in order to mitigate wear-out and minimize energy consumption. These studies, however, suffer from two limitations:

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Institutional subscriptions

Notes

  1. 1.

    Spatial division multiplexing-based NoC is assumed in this work and therefore, the leakage power consumed on the NoC is negligible [10].

  2. 2.

    The SDF3 tool generates one feasible actor distribution and the corresponding throughput. The MSDF3 tool is modified form of SDF3 that generates the schedule and throughput from a given actor distribution matrix.

References

  1. M. Bao, A. Andrei, P. Eles, Z. Peng, Temperature-aware idle time distribution for energy optimization with dynamic voltage scaling, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2010), pp. 21–26

    Google Scholar 

  2. D. Bertozzi, A. Jalabert, S. Murali, R. Tamhankar, S. Stergiou, L. Benini, G. De Micheli, NoC synthesis flow for customized domain specific multiprocessor systems-on-chip. IEEE Trans. Parallel Distrib. Syst. (TPDS) 16(2), 113–129 (2005)

    Google Scholar 

  3. G. Coley, Beagleboard System Reference Manual (BeagleBoard.org, 2009), p. 81

    Google Scholar 

  4. A. Das, A. Kumar, B. Veeravalli, Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2013), pp. 689–694

    Google Scholar 

  5. A. Das, A. Kumar, B. Veeravalli, Energy-aware task mapping and scheduling for reliable embedded computing systems. ACM Trans. Embed. Comput. Syst. (TECS) 13(2s), 72:1–72:27 (2014)

    Google Scholar 

  6. A.S. Hartman, D.E. Thomas, B.H. Meyer, A case for lifetime-aware task mapping in embedded chip multiprocessors, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2010), pp. 145–154

    Google Scholar 

  7. J. Hu, R. Marculescu, Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints, in Proceedings of the Conference on Design, Automation and Test in Europe(DATE) (IEEE Computer Society, 2004), p. 10234

    Google Scholar 

  8. L. Huang, Q. Xu, Energy-efficient task allocation and scheduling for multi-mode MPSoCs under lifetime reliability constraint, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2010), pp. 1584–1589

    Google Scholar 

  9. L. Huang, F. Yuan, Q. Xu, On task allocation and scheduling for lifetime extension of platform-based MPSoC designs. IEEE Trans. Parallel Distrib. Syst. (TPDS) 22(12), pp. 2088–2099 (2011)

    Google Scholar 

  10. A. Leroy, D. Milojevic, D. Verkest, F. Robert, F. Catthoor, Concepts and implementation of spatial division multiplexing for guaranteed throughput in networks-on-chip. IEEE Trans. Comput. 57(9), 1182–1195 (2008)

    Article  MathSciNet  Google Scholar 

  11. W. Liao, L. He, K. Lepak, Temperature and supply voltage aware performance and power modeling at microarchitecture level. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 24(7), 1042–1053 (2005)

    Google Scholar 

  12. K. Skadron, M.R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, D. Tarjan, Temperature-aware microarchitecture: modeling and implementation, ACM Trans. Archit. Code Optim. (TACO) 1(1), 94–125 (2004)

    Google Scholar 

  13. S. Stuijk, M. Geilen, T. Basten, SDF3: SDF for free, in Proceedings of the International Conference on Application of Concurrency to System Design (ACSD) (IEEE Computer Society, 2006), pp. 276–278

    Google Scholar 

  14. I. Ukhov, M. Bao, P. Eles, Z. Peng, Steady-state dynamic temperature analysis and reliability optimization for embedded multiprocessor systems, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2012), pp. 197–204

    Google Scholar 

  15. W. Zhao, Y. Cao, Predictive technology model for nano-CMOS design exploration. ACM J. Emerg. Technol. Comput. Syst. (JETC) 3(1), (2007)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Das, A.K., Kumar, A., Veeravalli, B., Catthoor, F. (2018). Reliability and Energy-Aware Platform-Based Multiprocessor Design. In: Reliable and Energy Efficient Streaming Multiprocessor Systems. Embedded Systems. Springer, Cham. https://doi.org/10.1007/978-3-319-69374-3_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-69374-3_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-69373-6

  • Online ISBN: 978-3-319-69374-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics