Skip to main content

Part of the book series: Embedded Systems ((EMSY))

  • 606 Accesses

Abstract

Multiprocessor systems have evolved over the past decades, triggered by innovations in transistor scaling and integration, multiprocessor design and system integration. This section summarizes these trends.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Institutional subscriptions

Notes

  1. 1.

    Transient faults in the look-up table of FPGA manifest as permanent faults until reprogrammed.

  2. 2.

    The state-of-the-art thermal models are too computation intensive to be included in the design space exploration process.

References

  1. B. Ackland, A. Anesko, D. Brinthaupt, S. Daubert, A. Kalavade, J. Knobloch, E. Micca, M. Moturi, C. Nicol, J. O’Neill, J. Othmer, E. Sackinger, K. Singh, J. Sweet, C. Terman, J. Williams, A single-chip, 1.6-billion, 16-b MAC/s multiprocessor DSP. IEEE J. Solid State Circuits 35(3), 412–424 (2000)

    Google Scholar 

  2. F. Ahmed, M. Sabry, D. Atienza, L. Milor, Wearout-aware compiler-directed register assignment for embedded systems, in Proceedings of the International Symposium on Quality Electronic Design (ISQED) (2012), pp. 33–40

    Google Scholar 

  3. A. Artieri, V. Alto, R. Chesson, M. Hopkins, M. Rossi, Nomadik open multimedia platform for next-generation mobile devices, in STMicroelectronics Technical Article TA305 (2003)

    Google Scholar 

  4. L. Benini, G. De Micheli, Networks on chips: a new SoC paradigm. IEEE Comput. 35(1), 70–78 (2002)

    Google Scholar 

  5. C. Bolchini, A. Miele, Reliability-driven system-level synthesis for mixed-critical embedded systems. IEEE Trans. Comput. 62(12), 2489–2502 (2013)

    Google Scholar 

  6. L. Chen, T. Mitra, Shared reconfigurable fabric for multi-core customization, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2011), pp. 830–835

    Google Scholar 

  7. Cloverview Family of Intel SoC, Intel Corporation (2009), http://ark.intel.com/products/codename/53606/cloverview

  8. P. Cumming, The TI OMAP platform approach to SoC, in Winning the SOC Revolution (2003)

    Google Scholar 

  9. A. Das, A. Kumar, Fault-aware task re-mapping for throughput constrained multimedia applications on NoC-based MPSoCs, in Proceedings of the International Symposium on Rapid System Prototyping (RSP) (IEEE, 2012), pp. 149–155

    Google Scholar 

  10. A. Das, A. Kumar, B. Veeravalli, Energy-aware communication and remapping of tasks for reliable multimedia multiprocessor systems, in Proceedings of the International Conference on Parallel and Distributed Systems (ICPADS) (IEEE Computer Society, 2012), pp. 564–571

    Google Scholar 

  11. A. Das, A. Kumar, B. Veeravalli, Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2013), pp. 689–694

    Google Scholar 

  12. A. Das, A. Kumar, B. Veeravalli, Aging-aware hardware-software task partitioning for reliable reconfigurable multiprocessor systems, in Proceedings of the International Conference on Compilers, Architecturesand Synthesis for Embedded Systems (CASES) (IEEE Press, 2013), pp. 1:1–1:10

    Google Scholar 

  13. A. Das, A. Kumar, B. Veeravalli, Communication and migration energy aware design space exploration for multicore systems with intermittent faults, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2013), pp. 1631–1636

    Google Scholar 

  14. A. Das, A. Kumar, B. Veeravalli, Communication and migration energy aware task mapping for reliable multiprocessor systems. Elsevier Futur. Gener. Comput. Syst. 30, 216–228 (2014)

    Google Scholar 

  15. A. Das, A. Kumar, B. Veeravalli, Energy-aware task mapping and scheduling for reliable embedded computing systems. ACM Trans. Embed. Comput. Syst. (TECS) 13(2s), 72:1–72:27 (2014)

    Google Scholar 

  16. A. Das, R.A. Shafik, G.V. Merrett, B.M. Al-Hashimi, A. Kumar, B. Veeravalli, Reinforcement learning-based inter- and intra-application thermal optimization for lifetime improvement of multicore systems, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2014)

    Google Scholar 

  17. A. Das, A. Kumar, B. Veeravalli, Temperature aware energy-reliability trade-offs for mapping of throughput-constrained applications on multimedia MPSoCs, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2014)

    Google Scholar 

  18. A. Das, A. Kumar, B. Veeravalli, Reliability and energy-aware mapping and scheduling of multimedia applications on multiprocessor systems. IEEE Trans. Parallel Distrib. Syst. 27(3), 869–884 (2016)

    Google Scholar 

  19. M. Damavandpeyma, S. Stuijk, T. Basten, M. Geilen, H. Corporaal, Throughput-constrained DVFS for scenario-aware dataflow graphs, in Proceedings of the IEEE Symposium on Real-Time and Embedded Technology and Applications (RTAS) (2013), pp. 175–184

    Google Scholar 

  20. J. De Oliveira, H. Van Antwerpen, The Philips Nexperia digital video platform, in Winning the SoC Revolution (2003), pp. 67–96

    Google Scholar 

  21. R. Dennard, F. Gaensslen, V. Rideout, E. Bassous, A. LeBlanc, Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J. Solid State Circuits 9(5), 256–268 (1974)

    Google Scholar 

  22. M. Fojtik, D. Fick, Y. Kim, N. Pinckney, D. Harris, D. Blaauw, D. Sylvester, Bubble razor: eliminating timing margins in an ARM Cortex-M3 processor in 45 nm CMOS using architecturally independent error detection and correction. IEEE J. Solid State Circuits 48(1), 66–81 (2013)

    Google Scholar 

  23. T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann, K. Johnson, C. Kenyon, J. Klaus, B. McIntyre, K. Mistry, A. Murthy, J. Sandford, M. Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, S. Thompson, M. Bohr, A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors, in IEEE International Electron Devices Meeting (IEDM) (2003), pp. 11.6.1–11.6.3

    Google Scholar 

  24. K. Goossens, J. Dielissen, A. Radulescu, Æthereal network on chip: concepts, architectures, and implementations. IEEE Des. Test Comput. 22(5), 414–421 (2005)

    Google Scholar 

  25. P. Greenhalgh, big.LITTLE processing with ARM Cortex-A15 & Cortex-A7, ARM White Paper (2011), http://www.arm.com/products/processors/technologies/biglittleprocessing.php

  26. S. Gupta, V. Moroz, L. Smith, Q. Lu, K. Saraswat, A group IV solution for 7 nm FinFET CMOS: stress engineering using Si, Ge and Sn, in IEEE International Electron Devices Meeting (IEDM) (2013), pp. 26.3.1–26.3.4

    Google Scholar 

  27. J. Hu, R. Marculescu, Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints, in Proceedings of the Conference on Design, Automation and Test in Europe(DATE) (IEEE Computer Society, 2004), p. 10234

    Google Scholar 

  28. L. Huang, F. Yuan, Q. Xu, Lifetime reliability-aware task allocation and scheduling for MPSoC platforms, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2009), pp. 51–56

    Google Scholar 

  29. V. Huard, C. Parthasarathy, A. Bravaix, C. Guerin, E. Pion, CMOS device design-in reliability approach in advanced nodes, in IEEE International Reliability Physics Symposium (2009), pp. 624–633

    Google Scholar 

  30. International technology roadmap for semiconductors (ITRS), Semiconductor Industry Association (2008), http://www.itrs.net

  31. L. Jiashu, A. Das, A. Kumar, A design flow for partially reconfigurable heterogeneous multi-processor platforms, in Proceedings of the International Symposium on Rapid System Prototyping (RSP) (IEEE, 2012), pp. 170–176

    Google Scholar 

  32. E. Karl, Y. Wang, Y.-G. Ng, Z. Guo, F. Hamzaoglu, U. Bhattacharya, K. Zhang, K. Mistry, M. Bohr, A 4.6GHz 162Mb SRAM design in 22nm tri-gate CMOS technology with integrated active VMIN-enhancing assist circuitry, in Proceedings of the IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2012), pp. 230–232

    Google Scholar 

  33. K. Keutzer, A. Newton, J. Rabaey, A. Sangiovanni-Vincentelli, System-level design: orthogonalization of concerns and platform-based design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 19(12), 1523–1543 (2000)

    Google Scholar 

  34. R. Kumar, D.M. Tullsen, P. Ranganathan, N.P. Jouppi, K.I. Farkas, Single-ISA heterogeneous multi-core architectures for multithreaded workload performance, in Proceedings of the Annual International Symposium on Computer Architecture (ISCA) (IEEE Computer Society, 2004), pp. 64–75

    Google Scholar 

  35. A. Kumar, B. Mesman, H. Corporaal, Y. Ha, Iterative probabilistic performance prediction for multi-application multiprocessor systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 29(4), 538–551 (2010)

    Google Scholar 

  36. S. Kumar, C. Kim, S. Sapatnekar, Adaptive techniques for overcoming performance degradation due to aging in CMOS circuits. IEEE Trans. Very Large Scale Integr. Syst. (TVLSI) 19(4), 603–614 (2011)

    Google Scholar 

  37. Y. Leblebici, Design considerations for CMOS digital circuits with improved hot-carrier reliability. IEEE J. Solid State Circuits 31(7), 1014–1024 (1996)

    Article  Google Scholar 

  38. E. Lee, D. Messerschmitt, Synchronous data flow. Proc. IEEE 75(9), 1235–1245 (1987)

    Article  Google Scholar 

  39. F. Moraes, N. Calazans, A. Mello, L. Möller, L. Ost, HERMES: an infrastructure for low area overhead packet-switching networks on chip. Integr. VLSI J. 38(1), 69–93 (2004)

    Article  Google Scholar 

  40. J. Mottin, M. Cartron, G. Urlini, The STHORM platform, in Embedded Systems, ed. by M. Torquati, K. Bertels, S. Karlsson, F. Pacull (Springer, New York, 2014), pp. 35–43

    Google Scholar 

  41. Y. Nishimichi, N. Higaki, M. Osaka, S. Horii, H. Yoshida, UniPhier: series development and SoC management, in Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC), ser. ASP-DAC ’09 (IEEE Press, 2009), pp. 540–545

    Google Scholar 

  42. F. Oboril, F. Firouzi, S. Kiamehr, M. Tahoori, Reducing NBTI-induced processor wearout by exploiting the timing slack of instructions, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), ser. CODES+ISSS ’12 (ACM, 2012), pp. 443–452

    Google Scholar 

  43. P. Packan, S. Akbar, M. Armstrong, D. Bergstrom, M. Brazier, H. Deshpande, K. Dev, G. Ding, T. Ghani, O. Golonzka, W. Han, J. He, R. Heussner, R. James, J. Jopling, C. Kenyon, S.-H. Lee, M. Liu, S. Lodha, B. Mattis, A. Murthy, L. Neiberg, J. Neirynck, S. Pae, C. Parker, L. Pipes, J. Sebastian, J. Seiple, B. Sell, A. Sharma, S. Sivakumar, B. Song, A. St.Amour, K. Tone, T. Troeger, C. Weber, K. Zhang, Y. Luo, S. Natarajan, High performance 32nm logic technology featuring 2nd generation high-k + metal gate transistors, in IEEE International Electron Devices Meeting (IEDM) (2009), pp. 1–4

    Google Scholar 

  44. A. Pinto, A. Bonivento, A.L. Sangiovanni-Vincentelli, R. Passerone, M. Sgroi, System level design paradigms: platform-based design and communication synthesis, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2004), pp. 537–563

    Google Scholar 

  45. K. Popovici, X. Guerin, F. Rousseau, P.S. Paolucci, A.A. Jerraya, Platform-based software design flow for heterogeneous MPSoC. ACM Trans. Embed. Comput. Syst. (TECS) 7(4), 39:1–39:23 (2008)

    Google Scholar 

  46. M. Prvulovic, Z. Zhang, J. Torrellas, ReVive: cost-effective architectural support for rollback recovery in shared-memory multiprocessors, in Proceedings of the Annual International Symposium on Computer Architecture (ISCA) (IEEE Computer Society, 2002), pp. 111–122

    Google Scholar 

  47. S. Ramey, A. Ashutosh, C. Auth, J. Clifford, M. Hattendorf, J. Hicks, R. James, A. Rahman, V. Sharma, A. St.Amour, C. Wiegand, Intrinsic transistor reliability improvements from 22nm tri-gate technology, in IEEE International Reliability Physics Symposium (IRPS) (2013), pp. 4C.5.1–4C.5.5

    Google Scholar 

  48. J. Ryckaert, Scaling beyond 7nm: design-technology co-optimization at the rescue, in Proceedings of the 2016 on International Symposium on Physical Design (ACM, 2016), p. 89

    Google Scholar 

  49. Samsung Exynos 5 Octa, Samsung Electronics (2014), www.samsung.com/exynos

  50. M. Santarini, Zynq-7000 EPP sets stage for new era of innovations. Xcell J. 75, 8–13 (2011)

    Google Scholar 

  51. P.K. Saraswat, P. Pop, J. Madsen, Task mapping and bandwidth reservation for mixed hard/soft fault-tolerant embedded systems, in Proceedings of the IEEE Symposium on Real-Time and Embedded Technology and Applications (RTAS) (IEEE Computer Society, 2010), pp. 89–98

    Google Scholar 

  52. M.T. Schmitz, B.M. Al-Hashimi, P. Eles, Cosynthesis of energy-efficient multimode embedded systems with consideration of mode-execution probabilities. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 24(2), 153–169 (2005)

    Google Scholar 

  53. SheevaPlug SoC, Marvell Semiconductor (2009), http://www.marvell.com/solutions/plug-computers/

    Google Scholar 

  54. A.K. Singh, T. Srikanthan, A. Kumar, W. Jigang, Communication-aware heuristics for run-time task mapping on noc-based mpsoc platforms. J. Syst. Archit. 56(7), 242–255 (2010)

    Article  Google Scholar 

  55. A.K. Singh, A. Das, A. Kumar, Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2013), pp. 115:1–115:7

    Google Scholar 

  56. K. Skadron, M.R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, D. Tarjan, Temperature-aware microarchitecture: modeling and implementation, ACM Trans. Archit. Code Optim. (TACO) 1(1), 94–125 (2004)

    Google Scholar 

  57. P. Stravers, J. Hoogerbrugge, Homogeneous multiprocessing and the future of silicon design paradigms, in Proceedings of the International Symposium on VLSI Technology, Systems, and Applications (2001), pp. 184–187

    Google Scholar 

  58. TILE-Gx8072 processor specification. Tilera Corporation (2014), http://www.tilera.com/sites/default/files/images/products/TILE-Gx8072_PB041-03_WEB.pdf

  59. M.A. Watkins, D.H. Albonesi, ReMAP: a reconfigurable heterogeneous multicore architecture, in Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO) (IEEE Computer Society, 2010), pp. 497–508

    Google Scholar 

  60. W. Wolf, Hardware-software co-design of embedded systems. Proc. IEEE 82(7), 967–989 (1994)

    Article  Google Scholar 

  61. J. Teich, Hardware/software codesign: the past, the present, and predicting the future. Proc. IEEE, 100(Special Centennial Issue), 1411–1430 (2012)

    Google Scholar 

  62. A. Tiwari, J. Torrellas, Facelift: hiding and slowing down aging in multicores, in Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO) (IEEE Computer Society, 2008), pp. 129–140

    Google Scholar 

  63. R. Yung, S. Rusu, K. Shoemaker, Future trend of microprocessor design, in Proceedings of the European Solid-State Circuits Conference (ESSCIRC) (IEEE, 2002), pp. 43–46

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Das, A.K., Kumar, A., Veeravalli, B., Catthoor, F. (2018). Introduction. In: Reliable and Energy Efficient Streaming Multiprocessor Systems. Embedded Systems. Springer, Cham. https://doi.org/10.1007/978-3-319-69374-3_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-69374-3_1

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-69373-6

  • Online ISBN: 978-3-319-69374-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics