Skip to main content

Molecular Docking Simulation Based on CPU-GPU Heterogeneous Computing

  • Conference paper
  • First Online:

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 10561))

Abstract

Receptor-ligand molecular docking aims to predict possible drug candidates for many diseases, and it requires a lot of computing cost. Shortening this time- consumption process will help pharmaceutical scientist to speed up drug development. In this paper, a parallel molecular docking simulation based on CPU-GPU heterogeneous computing is proposed. This simulation is developed from our previous developed molecular docking code iFitDock (Induced fit docking program) which introduced Non-dominated Sorting Genetic Algorithm II (NSGA II) and Molecular Mechanical-Generalized Born Surface Area (MM-GBSA) binding free energy. In this program, the most computationally intensive part is the computing of scoring functions due to complex computing process of free binding free energy. Thus, this paper focuses on offloading the computing of scoring functions as well as related conformation spatial transformation to GPU, and keeping the rest of the simulation on CPU. A detailed CPU-GPU heterogeneous computing model is constructed to parallelize the computing of scoring functions and related workload on the GPU and to define the data exchange between GPU and CPU. The primary parallel iFitDock system with only parallel semi-flexible docking implemented achieves a speedup of around ~20× with respect to a single CPU core. The result shows that it is very productive to use CPU-GPU heterogeneous computing for semi-flexible molecule docking cases in iFitDock.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Ritchie, D.W., Venkatraman, V., Mavridis, L.: Using graphics processors to accelerate protein docking calculations. In: Studies in Health Technology and Informatics, pp. 146–155 (2010). doi:10.3233/978-1-60750-583-9-146

  2. Moustakas, D.T., Lang, P.T., Pegg, S., Pettersen, E., Kuntz, I.D., Brooijmans, N., Rizzo, R.C.: Development and validation of a modular, extensible docking program: DOCK 5. J. Comput.-Aided Mol. Des. 20, 601–619 (2006). doi:10.1007/s10822-006-9060-4

    Article  Google Scholar 

  3. Wu, J., Chen, C., Hong, B.: A GPU-based approach to accelerate computational protein-DNA docking. Comput. Sci. Eng. 14, 20–29 (2012). doi:10.1186/1477-5956-10-S1-S17

    Article  Google Scholar 

  4. Korb, O., Stützle, T., Exner, T.E.: Accelerating molecular docking calculations using graphics processing units. J. Chem. Inf. Model. 51, 865–876 (2011). doi:10.1021/ci100459b

    Article  Google Scholar 

  5. Anthopoulos, A., Pasqualetto, G., Grimstead, I., Brancale, A.: Haptic-driven, interactive drug design: implementing a GPU-based approach to evaluate the induced fit effect. Faraday Discuss. 169, 323–342 (2014). doi:10.1039/c3fd00139c

    Article  Google Scholar 

  6. Yang, H., Li, B., Wang, Y., Luan, Z., Qian, D., Chu, T.: Accelerating Dock6’s amber scoring with graphic processing unit. In: Hsu, C.-H., Yang, L.T., Park, J.H., Yeo, S.-S. (eds.) ICA3PP 2010. LNCS, vol. 6081, pp. 404–415. Springer, Heidelberg (2010). doi:10.1007/978-3-642-13119-6_35

    Chapter  Google Scholar 

  7. Altuntaş, S., Bozkus, Z., Fraguela, B.B.: GPU accelerated molecular docking simulation with genetic algor ithms. In: Squillero, G., Burelli, P. (eds.) EvoApplications 2016. LNCS, vol. 9598, pp. 134–146. Springer, Cham (2016). doi:10.1007/978-3-319-31153-1_10

    Chapter  Google Scholar 

  8. Sukhwani, B., Herbordt, M.C.: FPGA acceleration of rigid-molecule docking codes. IET Comput. Digital Tech. 4, 184–195 (2010). doi:10.1049/iet-cdt.2009.0013

    Article  Google Scholar 

  9. Bai, F., Xu, Y., Chen, J., Liu, Q., Gu, J., Wang, X., Ma, J., Li, H., Onuchic, J.N., Jiang, H.: Free energy landscape for the binding process of Huperzine A to acetylcholinesterase. Proc. Nat. Acad. Sci. U.S.A. 110, 4273–4278 (2013). doi:10.1073/pnas.1301814110

    Article  Google Scholar 

  10. Deb, K., Pratap, A., Agarwal, S., Meyarivan, T.: A fast and elitist multiobjective genetic algorithm: NSGA-II. IEEE Trans. Evol. Comput. 6, 182–197 (2002). doi:10.1109/4235.996017

    Article  Google Scholar 

Download references

Acknowledgments

The authors are grateful to the financial supports from National Key R&D Program of China (under Grant No. 2016YFA0502300).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jianhua Li .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this paper

Cite this paper

Xu, J., Li, J., Cai, Y. (2017). Molecular Docking Simulation Based on CPU-GPU Heterogeneous Computing. In: Dou, Y., Lin, H., Sun, G., Wu, J., Heras, D., Bougé, L. (eds) Advanced Parallel Processing Technologies. APPT 2017. Lecture Notes in Computer Science(), vol 10561. Springer, Cham. https://doi.org/10.1007/978-3-319-67952-5_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-67952-5_3

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-67951-8

  • Online ISBN: 978-3-319-67952-5

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics