Skip to main content

Clock Domain Crossing (CDC) Verification

  • Chapter
  • First Online:
Book cover ASIC/SoC Functional Design Verification

Abstract

Clock domain crossing (CDC) has become an ever-increasing problem in multi-clock domain designs. One must solve issues not only at RTL level but also consider the physical timing. This chapter will start with understanding of metastability and then dive into different synchronizing techniques. It will also discuss the role of SystemVerilog Assertions in verification of CDC. We will then discuss a complete methodology.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG

About this chapter

Cite this chapter

Mehta, A.B. (2018). Clock Domain Crossing (CDC) Verification. In: ASIC/SoC Functional Design Verification. Springer, Cham. https://doi.org/10.1007/978-3-319-59418-7_8

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-59418-7_8

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-59417-0

  • Online ISBN: 978-3-319-59418-7

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics