Skip to main content

Hardware Hardening Approaches Using Camouflaging, Encryption, and Obfuscation

  • Chapter
  • First Online:
Hardware IP Security and Trust

Abstract

Due to the trend of globalized semiconductor supply chain, integrated circuits (IC) and hardware intellectual property (IP) are prone to serious security threats such as reverse engineering and IP piracy. In addition to the post-fabrication authentication techniques (e.g., IP metering), various hardware hardening approaches have emerged to resist reverse engineering and IP piracy. This chapter reviews three representable security hardening approaches—camouflaging, logic encryption/locking, and design obfuscation—that are applied to ICs at layout, gate, and register transfer levels. Particularly, this chapter presents a dynamic state-deflection-based obfuscation method, which deflects the state transition from the original transition path to a black hole cluster if an invalid key is applied to the hardware IP. This obfuscation method can successfully thwart the reverse engineering attack that exploits the code analysis support from electronic design automation (EDA) tools. Furthermore, this chapter extends the idea of design obfuscation for two-dimensional IC to emerging three-dimensional (3D) IC design technology. The proposed method is based on the insertion of a Network-on-Chip (NoC)-based shielding plane between two commercial dies to build the secure 3D ICs without involvement of trustworthy foundries.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. S. Adee, The hunt for the kill switch. IEEE Spectr. 45, 34–39 (2008)

    Article  Google Scholar 

  2. Y. Alkabani, F. Koushanfar, M. Potkonjak, Remote activation of ICs for piracy prevention and digital right management, in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2007), pp. 674–677

    Google Scholar 

  3. S. Bansal, 3D IC Design. EETimes (2011). http://www.eetimes.com/document.asp?doc_id=1279081

  4. C. Bao, A. Srivastava, 3D Integration: new opportunities in defense against cache-timing side-channel attacks, in Proceedings of Computer Design (ICCD) (2015), pp. 273–280

    Google Scholar 

  5. A. Baumgarten, A. Tyagi, J. Zambreno, Preventing IC piracy using reconfigurable logic barriers. IEEE Des. Test Comput. 27 (1), 66–75 (2010)

    Article  Google Scholar 

  6. D.J. Bernstein, Cache-timing attacks on AES. Technical Report (2005)

    Google Scholar 

  7. S. Bhunia, M.S. Hsiao, M. Banga, S. Narasimhan, Hardware trojan attacks: threat analysis and countermeasures. Proc. IEEE 102 (8), 1229–1247 (2014)

    Article  Google Scholar 

  8. E. Castillo, U. Meyer-Baese, A. Garcia, L. Parrilla, A. Lloris, IPP@HDL: efficient intellectual property protection scheme for IP cores. IEEE Trans. Very Large Scale Integr. VLSI Syst. 15 (5), 578–591 (2007)

    Article  Google Scholar 

  9. R.S. Chakraborty, S. Bhunia, Hardware protection and authentication through netlist level obfuscation, in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2008), pp. 674–677

    Google Scholar 

  10. R. Chakraborty, S. Bhunia, HARPOON: an obfuscation-based soc design methodology for hardware protection. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28 (10), 1493–1502 (2009)

    Article  Google Scholar 

  11. R.S. Chakraborty, S. Bhunia, Security through obscurity: an approach for protecting register transfer level hardware IP, in Proceedings of Hardware Oriented Security and Trust (HOST) (2009), pp. 96–99

    Google Scholar 

  12. R. Chakraborty, S. Bhunia, RTL Hardware IP protection using key-based control and data flow obfuscation, in Proceedings of International Conference on VLSI Design (VLSID) (2010), pp. 405–410

    Google Scholar 

  13. R.S. Chakraborty, S. Bhunia, Security against hardware trojan attacks using key-based design obfuscation. J. Electron. Test. 27 (6), 767–785 (2011)

    Article  Google Scholar 

  14. R.S. Chakraborty, S. Narasimhan, S. Bhunia, Hardware trojan: threats and emerging solutions, in Proceedings of High Level Design Validation and Test Workshop (HLDVT’09) (2009), pp. 166–171

    Google Scholar 

  15. Chipworks (2012). http://www.chipworks.com/en/technical-competitive-analysis/

  16. Circuit camouflage technology (2012). http://www.smi.tv/SMI_SypherMedia_Library_Intro.pdf

  17. R. Cocchi, J. Baukus, B. Wang, L. Chow, P. Ouyang, Building block for a secure CMOS logic cell library. US Patent App. 12/786,205 (2010)

    Google Scholar 

  18. R. Cocchi, L. Chow, J. Baukus, B. Wang, Method and apparatus for camouflaging a standard cell based integrated circuit with micro circuits and post processing. US Patent 8,510,700 (2013)

    Google Scholar 

  19. R. Cocchi, J.P. Baukus, L.W. Chow, B.J. Wang, Circuit camouflage integration for hardware IP protection, in Proceedings of Design Automation Conference (DAC) (2014), pp. 1–5

    Google Scholar 

  20. A.R. Desai, M.S. Hsiao, C. Wang, L. Nazhandali, S. Hall, Interlocking obfuscation for anti-tamper hardware, in Proceedings of Cyber Security and Information Intelligence Research Workshop (CSIIRW) (2013), pp. 8:1–8:4

    Google Scholar 

  21. J. Dofe, Y. Zhang, Q. Yu, DSD: a dynamic state-deflection method for gate-level netlist obfuscation, in Proceedings of IEEE Computer Society Annual Symposium on VLSI (ISVLSI) (2016), pp. 565–570

    Google Scholar 

  22. J. Dofe, Q. Yu, H. Wang, E. Salman, Hardware security threats and potential countermeasures in emerging 3D ICS, in Proceedings of Great Lakes Symposium on VLSI (GLSVLSI) (ACM, New York, 2016), pp. 69–74

    Google Scholar 

  23. S. Dupuis, P.S. Ba, G.D. Natale, M.L. Flottes, B. Rouzeyre, A novel hardware logic encryption technique for thwarting illegal overproduction and hardware trojans, in Proceedings of International On-Line Testing Symposium (IOLTS) (2014), pp. 49–54

    Google Scholar 

  24. ExtremeTech, iphone 5 A6 SoC reverse engineered, reveals rare hand-made custom CPU, and tri-core GPU (2012)

    Google Scholar 

  25. Federal statutory protection for mask works (1996). http://www.copyright.gov/circs/circ100.pdf

  26. J. Frey, Q. Yu, Exploiting state obfuscation to detect hardware trojans in NoC network interfaces, in Proceedings of Midwest Symposium on Circuits and Systems (MWSCAS) (2015), pp. 1–4

    Google Scholar 

  27. U. Guin, K. Huang, D. DiMase, J.M. Carulli, M. Tehranipoor, Y. Makris, Counterfeit integrated circuits: a rising threat in the global semiconductor supply chain. Proc. IEEE 102 (8), 1207–1228 (2014)

    Article  Google Scholar 

  28. F. Imeson, A. Emtenan, S. Garg, M.V. Tripunitara, Securing computer hardware using 3D integrated circuit (ic) technology and split manufacturing for obfuscation. USENIX Security, 13 (2013)

    Google Scholar 

  29. Intel’s 22-nm tri-gate transistors exposed (2012). http://www.chipworks.com/blog/technologyblog/2012/04/23/intels-22-nm-tri-gate-transistors-exposed

  30. P.C. Kocher, Timing attacks on implementations of diffie-Hellman, RSA, DSS, and Other Systems, in Proceedings of the 16th Annual International Cryptology Conference on Advances in Cryptology. CRYPTO ’96 (Springer, London, 1996), pp. 104–113. [Online]. Available: http://dl.acm.org/citation.cfm?id=646761.706156

  31. P. Kocher, J. Jaffe, B. Jun, Differential power analysis, in Advances in Cryptology—CRYPTO’99 (Springer, Berlin, 1999), pp. 388–397

    MATH  Google Scholar 

  32. F. Koushanfar, Provably secure active IC metering techniques for piracy avoidance and digital rights management. IEEE Trans. Inf. Forensics Secur. 7 (1), 51–63 (2012)

    Article  Google Scholar 

  33. L. Frontier Economics Ltd, Estimating the global economic and social impacts of counterfeiting and piracy (2011)

    Google Scholar 

  34. H.K. Lee, D.S. Ha, HOPE: an efficient parallel fault simulator for synchronous sequential circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15 (9), 1048–1058 (1996)

    Article  Google Scholar 

  35. Y.W. Lee, N.A. Touba, Improving logic obfuscation via logic cone analysis, in Proceedings of Latin-American Test Symposium (LATS) (2015), pp. 1–6

    Google Scholar 

  36. B. Liu, B. Wang, Reconfiguration-based VLSI design for security. IEEE J. Emerging Sel. Top. Circuits Syst. 5 (1), 98–108 (2015)

    Article  Google Scholar 

  37. T. Meade, S. Zhang, Y. Jin, Netlist reverse engineering for high-level functionality reconstruction, in Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC) (2016), pp. 655–660

    Google Scholar 

  38. A. Moradi, M.T.M. Shalmani, M. Salmasizadeh, A generalized method of differential fault attack against AES cryptosystem, Proceedings of Workshop on Cryptographic Hardware and Embedded Systems (CHES) (Springer, Berlin, Heidelberg, 2006), pp. 91–100

    Google Scholar 

  39. S.M. Plaza, I.L. Markov, Solving the third-shift problem in ic piracy with test-aware logic locking. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34 (6), 961–971 (2015)

    Article  Google Scholar 

  40. J. Rajendran, Y. Pino, O. Sinanoglu, R. Karri, Logic encryption: a fault analysis perspective, in Proceedings of Design, Automation and Test in Europe (DATE). EDA Consortium (2012), pp. 953–958

    Google Scholar 

  41. J. Rajendran, Y. Pino, O. Sinanoglu, R. Karri, Security analysis of logic obfuscation, in Proceedings of Design Automation Conference (DAC), ACM/EDAC/IEEE (2012), pp. 83–89

    Google Scholar 

  42. J. Rajendran, O. Sinanoglu, R. Karri, Is manufacturing secure? in Proceedings of Design, Automation & Test in Europe Conference & Exhibition (DATE) (2013), pp. 1259–1264

    Google Scholar 

  43. J. Rajendran, M. Sam, O. Sinanoglu, R. Karri, Security analysis of integrated circuit camouflaging, in Proceedings of ACM SIGSAC Conference on Computer Communications Security, CCS ’13 (ACM, New York, 2013), pp. 709–720

    Google Scholar 

  44. J. Rajendran, O. Sinanoglu, R. Karri, VLSI testing based security metric for IC camouflaging, in Proceedings of IEEE International Test Conference (ITC) (2013), pp. 1–4

    Google Scholar 

  45. J. Rajendran, A. Ali, O. Sinanoglu, R. Karri, Belling the CAD: toward security-centric electronic system design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34 (11), 1756–1769 (2015)

    Article  Google Scholar 

  46. J. Rajendran, H. Zhang, C. Zhang, G.S. Rose, Y. Pino, O. Sinanoglu, R. Karri, Fault analysis-based logic encryption. IEEE Trans. Comput. 64 (2), 410–424 (2015)

    Article  MathSciNet  Google Scholar 

  47. M. Rostami, F. Koushanfar, R. Karri, A primer on hardware security: models, methods, and metrics. Proc. IEEE 102 (8), 1283–1295 (2014)

    Article  Google Scholar 

  48. J. Roy, F. Koushanfar, I. Markov, EPIC: ending piracy of integrated circuits, in Proceedings of Design, Automation and Test in Europe (DATE) (2008), pp. 1069–1074

    Google Scholar 

  49. B. Schneier, Applied Cryptography: Protocols, Algorithms, and Source Code in C, 2nd edn. (Wiley, New York, 1995)

    MATH  Google Scholar 

  50. SEMI, Innovation is at risk as semiconductor equipment and materials industry loses up to $4 billion annually due to ip infringement (2008). www.semi.org/en/Press/P043775/

  51. O. Sinanoglu, Y. Pino, J. Rajendran, R. Karri, Systems, processes and computer-accessible medium for providing logic encryption utilizing fault analysis. US Patent App. 13/735,642 (2014)

    Google Scholar 

  52. P. Subramanyan, S. Ray, S. Malik, Evaluating the security of logic encryption algorithms, in Proceedings of Hardware Oriented Security and Trust (HOST) (2015), pp. 137–143

    Google Scholar 

  53. R. Torrance, D. James, The state-of-the-art in IC reverse engineering, in Proceedings of Workshop on Cryptographic Hardware and Embedded Systems (CHES) (Springer, Berlin, Heidelberg, 2009), pp. 363–381

    Google Scholar 

  54. J. Valamehr et al., A qualitative security analysis of a new class of 3-D integrated crypto co-processors. in Cryptography and Security, ed. by D. Naccache (Springer, Berlin, Heidelberg, 2012), pp. 364–382

    Google Scholar 

  55. J. Valamehr et al., A 3-D split manufacturing approach to trustworthy system development. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32 (4), 611–615 (2013)

    Article  Google Scholar 

  56. J.B. Wendt, M. Potkonjak, Hardware obfuscation using puf-based logic, in 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2014), pp. 270–271

    Google Scholar 

  57. K. Xiao, D. Forte, M.M. Tehranipoor, Efficient and secure split manufacturing via obfuscated built-in self-authentication, in Proceedings of Hardware Oriented Security and Trust (HOST) (2015), pp. 14–19

    Google Scholar 

  58. Y. Xie, A. Srivastava, Mitigating sat attack on logic locking. Cryptology ePrint Archive, Report 2016/590 (2016). http://eprint.iacr.org/

  59. Y. Xie, C. Bao, A. Srivastava, Security-aware design flow for 2.5 D IC technology, in Proceedings of the 5th International Workshop on Trustworthy Embedded Devices(TrustED). (ACM, New York, 2015), pp. 31–38

    Google Scholar 

  60. M. Yasin, O. Sinanoglu, Transforming between logic locking and IC camouflaging, in Proceedings of International Design Test Symposium (IDT) (2015), pp. 1–4

    Google Scholar 

  61. M. Yasin, J. Rajendranand, O. Sinanoglu, R. Karri, On improving the security of logic locking. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35 (9), 1411–1424 (2015)

    Article  Google Scholar 

  62. M. Yasin, B. Mazumdar, J. Rajendranand, O. Sinanoglu, SARlock: SAT attack resistant logic locking, in Proceedings of Hardware Oriented Security and Trust (HOST) (2016), pp. 236–241

    Google Scholar 

  63. J. Zhang, H. Yu, Q. Xu, HTOutlier: hardware trojan detection with side-channel signature outlier identification, in Proceedings of Hardware Oriented Security and Trust (HOST) (2012), pp. 55–58

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Qiaoyan Yu .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this chapter

Cite this chapter

Yu, Q., Dofe, J., Zhang, Y., Frey, J. (2017). Hardware Hardening Approaches Using Camouflaging, Encryption, and Obfuscation. In: Mishra, P., Bhunia, S., Tehranipoor, M. (eds) Hardware IP Security and Trust. Springer, Cham. https://doi.org/10.1007/978-3-319-49025-0_7

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-49025-0_7

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-49024-3

  • Online ISBN: 978-3-319-49025-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics