Skip to main content

Robustness of Nanometer CMOS Designs: Signal Integrity, Variability and Reliability

  • Chapter
Nanometer CMOS ICs

Abstract

With shrinking feature sizes and increased chip sizes, the average delay of a logic gate is now dominated by the interconnection (metal wires) rather than by the transistor itself. Most of the potential electrical problems, such as cross-talk, critical timing, substrate bounce and clock skew, etc. are related to the signal propagation and/or high (peak) currents through these metal wires.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. B. Barton et al., ESSCIRC, Low-Power Workshop 1997, Southampton, 1997

    Google Scholar 

  2. P.J. Resle et al., A clock distribution network for microprocessors. IEEE J. Solid-State Circuits 36 (5), 792–799 (2001)

    Article  Google Scholar 

  3. S. Rusu, Clock generation and distribution for high-performance processors, SoC (2004), http://www.tkt.cs.tut.fi/kurssit/8404941/S04/chapter5.pdf

  4. S. Henzler, Digital system clocking, from: high-speed digital CMOS circuits, Technical University, Munich, Summer Term 2015

    Google Scholar 

  5. K. Bernstein et al., High-Speed CMOS Design Styles (Kluwer Academic Publishers, Boston, 1999)

    Book  MATH  Google Scholar 

  6. S. Rusu, Circuit design challenges for integrated systems, in Workshop on Integrated Systems, European Solid-State Circuits Conference, September, 1999

    Google Scholar 

  7. C. Kim et al., High Bandwidth Memory Interface (Springer Briefs in Electrical and Computer Engineering, New York, 2014)

    Book  Google Scholar 

  8. H. Basit et al., Practical Multi-Gigahertz Clocks for ASIC and COT Designs, DesignCon 2004

    Google Scholar 

  9. B. Nauta, G. Hoogzaad, How to deal with substrate noise in analog CMOS circuits, in European Conference on Circuit Theory and Design, Budapest, September 1997

    Google Scholar 

  10. H.B. Bakoglu, Circuits, Interconnections and Packaging for VLSI (Addison-Wesley, Reading, MA, 1990)

    Google Scholar 

  11. E. Dupont et al., Embedded Robustness IPs for transient-error-free ICs. IEEE Des. Test Comput. 19 (3), 56–70 (2002)

    Article  Google Scholar 

  12. T. Heijmen et al., Soft-error rate testing of deep-submicron integrated circuits, in Test Symposium (ETS ’06) (2006)

    Google Scholar 

  13. M. Derby, Soft-error impacts on design for reliability technologies. Keynote Talk at IOLTS, July 2007

    Google Scholar 

  14. N. Seifert et al., Radiation-induced soft error rates of advanced CMOS bulk devices, in IEEE 44th Annual International Reliability Physics Symposium, San Jose, pp. 217–225 (2006)

    Google Scholar 

  15. V. Petrescu et al., A signal integrity self test (SIST) concept for the debug of nanometer CMOS ICs. ISSCC 2006, Digest of Technical Papers, session 29 (2006)

    Google Scholar 

  16. P. Drennan et al., Implications of proximity effects for analog design, in IEEE 2006 CICC Conference (2006)

    Google Scholar 

  17. J.M. Brunet, Modelling process variability in the design flow. Chip Design Magazine, Issue Dec 2005/Jan 2006

    Google Scholar 

  18. M. Vertregt, The analog challenge of nanometer CMOS. IEDM 2006, Digest of Technical Papers, pp. 11–18 (2006)

    Google Scholar 

  19. M. Pelgrom et al., Transistor matching in analog CMOS applications, in International Electron Device Meeting (IEDM), pp. 915–918 (1998)

    Google Scholar 

  20. V. Moroz, FinFET structure design and variability analysis enabled by TCAD. EE—Times, 8 Oct 2012

    Google Scholar 

  21. A.R. Brown et al., Impact of metal gate granularity on threshold voltage variability a full-scale three-dimensional statistical simulation study. IEEE Electron Device Lett. 31 (11), 1199–1201 (2010)

    Google Scholar 

  22. T. Kanamoto et al., Impact of well edge proximity effect on timing. ESSCIRC 2007, Digest of Technical Papers, pp. 115–118 (2007)

    Google Scholar 

  23. K. Qian, Variability modeling and statistical parameter extraction for CMOS devices, Dissertation No. UCB/EECS-2015-165, Electrical Engineering and Computer Sciences, University of California at Berkeley, June 2015

    Google Scholar 

  24. N. Damrongplasit, Study of variability in advanced transistor technologies, in Electrical Engineering and Computer Sciences, University of California at Berkeley, Fall 2014

    Google Scholar 

  25. M. Vertregt, Embedded analog technology. IEDM short course on System-On-a-Chip Technology, 5 Dec 1999

    Google Scholar 

  26. P. Stolk et al., Modeling statistical dopant fluctuations in MOS transistors. IEEE Trans. Electron Devices 45 (9), 1960–1971 (1998)

    Article  Google Scholar 

  27. M. Pelgrom et al., Digital circuit insights from analog experiences, in International Solid-State Circuits Conference 2007, Special Topic Evening Sessions (2007)

    Google Scholar 

  28. A. Dadheech et al., Leakage power optimization for 28 nm and beyond. EDN, 07 April 2014

    Google Scholar 

  29. A. Agarwal et al., Statistical timing analysis using bounds. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 22 (9), 1243–1260 (2003)

    Article  Google Scholar 

  30. J.-J. Liou et al, Fast statistical timing analysis by probabilistic event propagation, in DAC 2001, Las Vegas, June 2001

    Google Scholar 

  31. A.M. Baker, Y. Jiang, Modeling and architectural simulations of the statistical static timing analysis of the non-gaussian variation sources for VLSI circuits. Int. J. Sci. Res. Publ. 3 (1), 1 (2013). ISSN:2250-3153

    Google Scholar 

  32. J. Chen, M. Tehranipoor, Critical paths selection and test cost reduction considering process variations, in 2013 22nd Asian Test Symposium, pp. 259–264, 18–21 Nov 2013

    Google Scholar 

  33. H.J.M. Veendrick, Wire self-heating in supply lines on bulk-CMOS ICs’. ESSCIRC 2002, Digest of Technical Papers, pp. 199–202, Sept 2002

    Google Scholar 

  34. G.D. Wilk et al, High-k dielectrics: current status and materials properties considerations. J. Appl. Phys. 89 (10), 5243–5275 (2001)

    Article  Google Scholar 

  35. A. Kottantharayil, Low-voltage hot-carrier issues in deep-sub-micron MOSFETs. Thesis, University of Munic, 2001. http://137.193.200.177/ediss/kottantharayil-anil/inhalt.pdf

    Google Scholar 

  36. S. Mahaptra et al., Device scaling effects on hot-carrier induced interface and oxide-trapped charge distributions in MOSFETs. IEEE Trans. Electron Devices 47 (4), 789–796 (2000)

    Article  Google Scholar 

  37. S. Tyaginov et al., Understanding and modeling the temperature behavior of hot-carrier degradation in SiON nMOSFETs. IEEE Electron Device Lett. 37 (1), 84–87 (2016)

    Article  Google Scholar 

  38. K. Kushida-Abdelghafar et al., Effect of nitrogen at SiO2-Si interface on reliability issues negative bias temperature instability and Fowler-Nordheim stress degradation. Appl. Phys. Lett. 81 (23), 4362–4364 (2002)

    Article  Google Scholar 

  39. Y. Hiruta et al., Interface state generation under long-term positive-bias temperature stress for a p+ poly gate MOS structure. IEEE Trans. Electron Devices 36, 1732 (1989)

    Article  Google Scholar 

  40. T.B. Hook et al., The effect of fluorine on parametric and reliability in a 0.18 μm 3.5/6.8 nm dual gate oxide CMOS technology. IEEE Trans. Electron Devices 48 (7), 1346 (2001)

    Google Scholar 

  41. S. Ogawa et al., Interface-trap generartion at ultrathin (4–6 nm) interfaces during negative-bias temperature aging. J. Appl. Phys. 77 (3), 1137–1148 (1995)

    Article  Google Scholar 

  42. A. Scarpa et al, Effect of the process flow on negative-bias-temperature-instability, in Proceedings of the 8th International Symposium on Process- and Plasma-Induced Damage (2003), p. 142

    Google Scholar 

  43. P. Chaparala et al., NBTI in dual gate oxide PMOSFETs, in Proceedings of the 8th International Symposium on Process- and Plasma-Induced Damage (2003), p. 138

    Google Scholar 

  44. P. Rani et al., Impact of negative bias temperature instability on 6T CMOS SRAM cell performance. Int. J. Comput. Appl. (0975–8887) 128 (12), 1–6 (2015)

    Google Scholar 

  45. S. Han et al., In-depth analysis of NBTI at 2X nm node DRAM, in 2016 IEEE 8th International Memory Workshop (IMW) (2016)

    Google Scholar 

  46. S. Mahapatra (ed), Fundamentals of Bias Temperature Instability in MOS Transistors. Springer Series in Advanced Microelectronics (Springer, New Delhi, 2016). ISBN 978-81-322-2507-2

    Google Scholar 

  47. C.D. Young et al., Investigation of negative bias temperature instability dependence on fin width of silicon-on-insulator-fin-based field effect transistors. J. Appl. Phys. 117, 034501 (2015)

    Article  Google Scholar 

  48. B. Linder et al., Process optimizations for NBTI/PBTI for future replacement metal gate technologies, in International Reliability Physics Symposium (2016)

    Google Scholar 

  49. R.R. Troutman, Latchup in CMOS Technology (Kluwer Academic Publishers, Boston, 1986). ISBN 0-89838-215-7

    Book  Google Scholar 

  50. F. Farbiz, Modeling and suppression of latch-up, Ph.D. dissertation, Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, 2010

    Google Scholar 

  51. A. Ameraskera, C. Duvvury, ESD in Silicon Integrated Circuits (Wiley, New York, 2002). ISBN 0-471-95481-0

    Book  Google Scholar 

  52. M.D. Ker et al, ESD test methods on integrated circuits: an overview, in 8th IEEE International Conference on Electronics, Circuits and Systems2, 1011–1014 (2001)

    Google Scholar 

  53. M. Shen et al., Modeling and design guidelines for P guard rings in lightly doped CMOS substrates. IEEE Trans. Electron Devices 60 (9), 2854–2861 (2013)

    Article  Google Scholar 

  54. S. Uppal, FinFET reliability, in 2015 IEEE International Integrated Reliability Workshop (IIRW), Oct 2015

    Google Scholar 

  55. M.I. Khan, Self-heating and reliability issues in FinFET and 3D ICs, in 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Oct 2014

    Google Scholar 

  56. C.A. Chami, Keeping your design files organized, SemiWiki.com, 07 Sept 2016

    Google Scholar 

  57. J.-H. Lee et al., ESD in FinFET technologies: past learning and emerging challenges, in IEEE International Reliability Physics Symposium (IRPS) (2013), pp. 2B.5.1–2B.5.8

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this chapter

Cite this chapter

Veendrick, H.J.M. (2017). Robustness of Nanometer CMOS Designs: Signal Integrity, Variability and Reliability. In: Nanometer CMOS ICs. Springer, Cham. https://doi.org/10.1007/978-3-319-47597-4_9

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-47597-4_9

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-47595-0

  • Online ISBN: 978-3-319-47597-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics