Skip to main content

Multi-Objective Power Management for CMPs in the Dark Silicon Age

  • Chapter
  • First Online:
The Dark Side of Silicon

Abstract

New power management challenges in networked many-core systems arise when limitations of the dark silicon era come into reality. The main goal in the power management process is to achieve optimal power-performance efficiency considering thermal design power (TDP) budget. This necessitates: (1) monitoring several system characteristics including both communication and computation aspects, (2) categorizing, prioritizing, and processing the information in an intelligent way, and (3) controlling a rich set of actuators. More precisely, a comprehensive Observe–Decide–Act (ODA) loop based multi-objective control approach is needed, which has access to a rich set of sensors and actuators. In this chapter, we first identify a necessary set of system parameters for monitoring such as an upper limit on total power consumption, dynamic behavior of workloads, utilization of processing elements, per-core power consumption, load on network-on-chip (NoC), etc. We also discuss essential actuators needed for the power management process together with a multi-objective and dark silicon aware power management policy that is able to simultaneously consider all the mentioned parameters. As actuator, fine-grained voltage and frequency scaling is utilized, including near-threshold operation, per-core power gating, as well as scheduler-level actuation to maximize the system throughput while honoring the power budget.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 139.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. W. Liang, K. Skadron, Implications of the power wall: dim cores and reconfigurable logic. IEEE Micro 33 (5), 40–48 (2013)

    Article  Google Scholar 

  2. S. Pagani, H. Khdr, W. Munawar, J. Chen, M. Shafique, M. Li, J. Henkel, TSP: thermal safe power: efficient power budgeting for many-core systems in dark silicon, in Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, CODES ’14 (2014)

    Google Scholar 

  3. P. Bogdan, R. Marculescu, S. Jain, Dynamic power management for multidomain system-on-chip platforms: an optimal control approach. ACM Trans. Des. Autom. Electron. Syst. 18 (4), 46:1–46:20 (2013)

    Google Scholar 

  4. R. David, P. Bogdan, R. Marculescu, U. Ogras, Dynamic power management of voltage-frequency island partitioned networks-on-chip using intel sing-chip cloud computer, in Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip, NOCS ’11 (2011), pp. 257–258

    Google Scholar 

  5. T.S. Muthukaruppan, M. Pricopi, V. Venkataramani, T. Mitra, S. Vishin, Hierarchical power management for asymmetric multi-core in dark silicon era, in Proceedings of Design Automation Conference (2013), pp. 1–9

    Google Scholar 

  6. K. Ma, X. Wang, PGCapping: exploiting power gating for power capping and core lifetime balancing in CMPs, in Proceedings of the 21st International Conference on Parallel Architectures and Compilation Techniques, PACT ’12 (2012), pp. 13–22

    Google Scholar 

  7. K.K. Chang, R. Ausavarungnirun, C. Fallin, O. Mutlu, HAT: heterogeneous adaptive throttling for on-chip networks, in Proceedings of IEEE 24th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD) (2012), pp. 9–18

    Google Scholar 

  8. A.-M. Rahmani, M.-H. Haghbayan, A. Kanduri, A.Y. Weldezion, P. Liljeberg, J. Plosila, A. Jantsch, H. Tenhunen, Dynamic power management for many-core platforms in the dark silicon era: a multi-objective control approach, in Proceedings of International Symposium on Low Power Electronics and Design (ISLPED) (2015), pp. 1–6

    Google Scholar 

  9. N. Goulding-Hotta, J. Sampson, G. Venkatesh, S. Garcia, J. Auricchio, P. Huang, M. Arora, S. Nath, V. Bhatt, J. Babb, S. Swanson, M.B. Taylor, The GreenDroid mobile application processor: an architecture for silicon’s dark future. IEEE Micro 31 (2), 86–95 (2011)

    Article  Google Scholar 

  10. H. Esmaeilzadeh, A. Sampson, L. Ceze, D. Burger, Neural acceleration for general-purpose approximate programs, in Proceedings of IEEE/ACM International Symposium on Microarchitecture (2012), pp. 449–460

    Google Scholar 

  11. ARM Ltd. (2011), http://www.arm.com/products/tools/development-boards/versatile-express/index.php

  12. Variable SMP: a multi-core CPU architecture for low power and high performance, in White paper, NVIDIA (2011)

    Google Scholar 

  13. M.A. Bender, D.P. Bunde, E.D. Demaine, S.P. Fekete, V.J. Leung, H. Meijer, C.A. Phillips, Communication-aware processor allocation for supercomputers: finding point sets of small average distance, in Proceedings of Algorithmica (2008), pp. 279–298

    Google Scholar 

  14. E. Carvalho, N. Calazans, F. Moraes, Heuristics for dynamic task mapping in NoC-based heterogeneous MPSoCs, in Proceedings of 18th IEEE/IFIP International Workshop on Rapid System Prototyping (2007), pp. 34–40

    Google Scholar 

  15. M. Fattah, P. Liljeberg, J. Plosila, H. Tenhunen, Adjustable contiguity of run-time task allocation in networked many-core systems, in Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC) (2014), pp. 349–354

    Google Scholar 

  16. M.-H. Haghbayan, A. Kanduri, A.-M. Rahmani, P. Liljeberg, A. Jantsch, H. Tenhunen, MapPro: proactive runtime mapping for dynamic workloads by quantifying ripple effect of applications on networks-on-chip, in Proceedings of International Symposium on Networks-on-Chip (NOCS) (2015), pp. 1–8

    Google Scholar 

  17. G. Liang, A. Jantsch, Adaptive power management for the on-chip communication network, in Proceedings of the EUROMICRO Conference on Digital System Design (2006), pp. 649–656

    Google Scholar 

  18. M.-H. Haghbayan, A.-M. Rahmani, A.Y. Weldezion, P. Liljeberg, J. Plosila, A. Jantsch, H. Tenhunen, Dark silicon aware power management for manycore systems under dynamic workloads, in Proceedings of International Conference on Computer Design (ICCD) (2014), pp. 509–512

    Google Scholar 

  19. Z. Chen, D. Marculescu, Distributed reinforcement learning for power limited many-core system performance optimization, in Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition (2015), pp. 1521–1526

    Google Scholar 

  20. H. Bokhari, H. Javaid, M. Shafique, J. Henkel, S. Parameswaran, darkNoC: designing energy-efficient network-on-chip with multi-Vt cells for dark silicon, in Proceedings of 51st ACM/EDAC/IEEE Design Automation Conference (DAC) (2014), pp. 1–6

    Google Scholar 

  21. J. Zhan, Y. Xie, G. Sun, NoC-sprinting: Interconnect for fine-grained sprinting in the dark silicon era, in Proceedings of 51st ACM/EDAC/IEEE Design Automation Conference (DAC) (2014), pp. 1–6

    Google Scholar 

  22. M. Fattah, A.-M. Rahmani, T.C. Xu, A. Kanduri, P. Liljeberg, J. Plosila, H. Tenhunen, Mixed-criticality run-time task mapping for NoC-based many-core systems, in Proceedings of International Conference on Parallel, Distributed and Network-Based Processing (2014), pp. 458–465

    Google Scholar 

  23. TGG: Task Graph Generator (2010), http://sourceforge.net/projects/taskgraphgen/

  24. R. Bakker, M.W. van Tol, A.D. Pimentel, Emulating asymmetric MPSoCs on the intel SCC many-core processor, in Proceedings of the Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (2014), pp. 520–527

    Google Scholar 

  25. J. Howard, S. Dighe, Y. Hoskote, Vangal, A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS, in Proceedings of Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2010), pp. 108–109

    Google Scholar 

  26. H. Esmaeilzadeh, T. Cao, Y. Xi, S.M. Blackburn, K.S. McKinley, Looking back on the language and hardware revolutions: measured power, performance, and scaling, in Proceedings of the Sixteenth International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS XVI (2011), pp. 319–332

    Google Scholar 

  27. A.Y. Weldezion, M. Grange, D. Pamunuwa, A. Jantsch, H. Tenhunen, A scalable multi-dimensional NoC simulation model for diverse spatio-temporal traffic patterns, in Proceedings of the IEEE International 3D Systems Integration Conference (2013), pp. 1–5

    Google Scholar 

  28. A.Y. Weldezion, M. Grange, D. Pamunuwa, Z. Lu, A. Jantsch, R. Weerasekera, H. Tenhunen, Scalability of network-on-chip communication architecture for 3-D meshes, in Proceedings of the International Symposium on Networks-on-Chip (2009), pp. 114–123

    Google Scholar 

  29. L. Wang, K. Skadron, Dark vs. Dim Silicon and near-threshold computing extended results. University of Virginia Department of Computer Science, Technical Report TR-2013-01 (2012)

    Google Scholar 

  30. F. Fazzino, M. Palesi, D. Patti, Noxim: Network-on-chip simulator (2008), http://sourceforge.net/projects/noxim

    Google Scholar 

  31. S. Li, J.H. Ahn, R.D. Strong, J.B. Brockman, D.M. Tullsen, N.P. Jouppi, McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures, in Proceedings of the 42Nd Annual IEEE/ACM International Symposium on Microarchitecture (2009), pp. 469–480

    Google Scholar 

  32. Lumos Framework (2014), http://liangwang.github.io/lumos/. Accessed 20 May 2014

  33. B.H. Calhoun, S. Khanna, R. Mann, J. Wang, Sub-threshold circuit design with shrinking CMOS devices, in Proceedings of the International Symposium on Circuits and Systems (2009), pp. 2541–2544

    Google Scholar 

  34. M. Fattah, M. Daneshtalab, P. Liljeberg, J. Plosila, Smart hill climbing for agile dynamic mapping in many-core systems, in Proceedings of Design Automation Conference (DAC) (2013), pp. 1–6

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Amir M. Rahmani .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Rahmani, A.M., Haghbayan, MH., Liljeberg, P., Jantsch, A., Tenhunen, H. (2017). Multi-Objective Power Management for CMPs in the Dark Silicon Age. In: Rahmani, A., Liljeberg, P., Hemani, A., Jantsch, A., Tenhunen, H. (eds) The Dark Side of Silicon. Springer, Cham. https://doi.org/10.1007/978-3-319-31596-6_7

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-31596-6_7

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-31594-2

  • Online ISBN: 978-3-319-31596-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics